Hoppa till huvudinnehållet

Publikationer av Mikael Östling

Refereegranskade

Artiklar

[1]
S. Hou et al., "A Silicon Carbide 256 Pixel UV Image Sensor Array Operating at 400 degrees C," IEEE Journal of the Electron Devices Society, vol. 8, no. 1, s. 116-121, 2020.
[3]
L. Zurauskaite, P.-E. Hellström och M. Östling, "Process Conditions for Low Interface State Density in Si-passivated Ge Devices with TmSiO Interfacial Layer," ECS Journal of Solid State Science and Technology, vol. 9, no. 12, 2020.
[5]
S. Hou et al., "A 4H-SiC BJT as a Switch for On-Chip Integrated UV Photodiode," IEEE Electron Device Letters, vol. 40, no. 1, s. 51-54, 2019.
[6]
M. W. Hussain et al., "An Intermediate Frequency Amplifier for High-Temperature Applications (vol 65, pg 1411, 2018)," IEEE Transactions on Electron Devices, vol. 66, no. 8, s. 3694-3694, 2019.
[7]
M. Östling, "Exciting Progress," IEEE Journal of the Electron Devices Society, vol. 7, no. 1, 2019.
[12]
[13]
G. Jayakumar, P.-E. Hellström och M. Östling, "Utilizing the superior etch stop quality of HfO 2 in the front end of line wafer scale integration of silicon nanowire biosensors," Microelectronic Engineering, vol. 212, s. 13-20, 2019.
[15]
S. S. Delekta, M. Östling och J. Li, "Wet Transfer of Inkjet Printed Graphene for Microsupercapacitors on Arbitrary Substrates," ACS Applied Energy Materials, vol. 2, no. 1, s. 158-163, 2019.
[16]
A. Salemi et al., "15 kV-Class Implantation-Free 4H-SiC BJTs With Record High Current Gain," IEEE Electron Device Letters, vol. 39, no. 1, s. 63-66, 2018.
[17]
M. Shakir et al., "A 600 degrees C TTL-Based 11-Stage Ring Oscillator in Bipolar Silicon Carbide Technology," IEEE Electron Device Letters, vol. 39, no. 10, s. 1540-1543, 2018.
[18]
A. Abedin et al., "Germanium on Insulator Fabrication for Monolithic 3-D Integration," IEEE Journal of the Electron Devices Society, vol. 6, no. 1, s. 588-593, 2018.
[19]
X. Fan et al., "Humidity and CO2 gas sensing properties of double-layer graphene," Carbon, vol. 127, s. 576-587, 2018.
[20]
A. Quellmalz et al., "Influence of Humidity on Contact Resistance in Graphene Devices," ACS Applied Materials and Interfaces, vol. 10, no. 48, s. 41738-41746, 2018.
[21]
P. Loiko et al., "Inkjet-printing of graphene saturable absorbers for similar to 2 mu m bulk and waveguide lasers," Optical Materials Express, vol. 8, no. 9, s. 2803-2814, 2018.
[22]
T. Kurose et al., "Low-parasitic-capacitance self-aligned 4H-SiC nMOSFETs for harsh environment electronics," Materials Science Forum, vol. 924, s. 971-974, 2018.
[23]
G. Jayakumar, P.-E. Hellström och M. Östling, "Monolithic Wafer Scale Integration of Silicon Nanoribbon Sensors with CMOS for Lab-on-Chip Application," Micromachines, vol. 9, no. 11, 2018.
[24]
S. Hou et al., "Scaling and modeling of high temperature 4H-SiC p-i-n photodiodes," IEEE Journal of the Electron Devices Society, vol. 6, no. 1, s. 139-145, 2018.
[25]
H. Elahipanah et al., "500 degrees C High Current 4H-SiC Lateral BJTs for High-Temperature Integrated Circuits," IEEE Electron Device Letters, vol. 38, no. 10, s. 1429-1432, 2017.
[26]
H. Elahipanah et al., "A Wafer-Scale Self-Aligned Ni-Silicide (SALICIDE) Low-Ohmic Contact Technology on n-type 4H-SiC," ECS Journal of Solid State Science and Technology, vol. 6, no. 4, s. 197-200, 2017.
[27]
H. Elahipanah et al., "A wafer-scale Ni-salicide contact technology on n-type 4H-SiC," ECS Journal of Solid State Science and Technology, vol. 6, no. 4, s. P197-P200, 2017.
[28]
M. Östling et al., "An editorial on the recent advances in high and low temperature electronics," Semiconductor Science and Technology, vol. 32, no. 8, 2017.
[29]
C.-M. Zetterling et al., "Bipolar integrated circuits in SiC for extreme environment operation," Semiconductor Science and Technology, vol. 32, no. 3, 2017.
[31]
G. Lobov et al., "Electro-optical effects of high aspect ratio P3HT nanofibers colloid in polymer micro-fluid cells," Optics Letters, vol. 42, no. 11, s. 2157-2160, 2017.
[32]
L. Jablonka et al., "Formation of nickel germanides from Ni layers with thickness below 10 nm," Journal of Vacuum Science & Technology B, vol. 35, no. 2, 2017.
[33]
A. D. Smith et al., "Graphene-based CO2 sensing and its cross-sensitivity with humidity," RSC Advances, vol. 7, no. 36, s. 22329-22339, 2017.
[34]
S. S. Delekta et al., "Inkjet printed highly transparent and flexible graphene micro-supercapacitors," Nanoscale, vol. 9, no. 21, s. 6998-7005, 2017.
[35]
M. Ekström et al., "Integration and High-Temperature Characterization of Ferroelectric Vanadium-Doped Bismuth Titanate Thin Films on Silicon Carbide," Journal of Electronic Materials, vol. 46, no. 7, s. 4478-4484, 2017.
[37]
A. D. Smith et al., "Wafer-Scale Statistical Analysis of Graphene FETs-Part I : Wafer-Scale Fabrication and Yield Analysis," IEEE Transactions on Electron Devices, vol. 64, no. 9, s. 3919-3926, 2017.
[38]
A. D. Smith et al., "Wafer-Scale Statistical Analysis of Graphene Field-Effect Transistors-Part II : Analysis of Device Properties," IEEE Transactions on Electron Devices, vol. 64, no. 9, s. 3927-3933, 2017.
[39]
S. Hou et al., "550 degrees C 4H-SiC p-i-n Photodiode Array With Two-Layer Metallization," IEEE Electron Device Letters, vol. 37, no. 12, s. 1594-1596, 2016.
[40]
A. Salemi et al., "A Comprehensive Study on the Geometrical Effects in High Power 4H-SiC BJTs," IEEE Transactions on Electron Devices, vol. 64, no. 3, s. 882-887, 2016.
[41]
J. Li, V. Mishukova och M. Östling, "All-solid-state micro-supercapacitors based on inkjet printed graphene electrodes," Applied Physics Letters, vol. 109, no. 12, 2016.
[42]
Y. Illarionov et al., "Bias-temperature instability on the back gate of single-layer double-gated graphene field-effect transistors," Japanese Journal of Applied Physics, vol. 55, no. 4, 2016.
[43]
G. Lobov et al., "Dynamic Manipulation of Optical Anisotropy of Suspended Poly-3-hexylthiophene Nanofibers," Advanced Optical Materials, vol. 4, no. 10, s. 1651-1656, 2016.
[44]
S. Wagner et al., "Graphene transfer methods for the fabrication of membrane-based NEMS devices," Microelectronic Engineering, vol. 159, s. 108-113, 2016.
[45]
M. Moeen et al., "Improved designs of Si-based quantum wells and Schottky diodes for IR detection," Thin Solid Films, vol. 613, s. 19-23, 2016.
[46]
H. Elahipanah et al., "Intertwined Design: A Novel Lithographic Method to Realize Area Efficient High Voltage SiC BJTs and Darlington Transistors," IEEE Transactions on Electron Devices, vol. 63, no. 11, s. 4366-4372, 2016.
[48]
J. Li och M. Ostling, "Precise percolation thresholds of two-dimensional random systems comprising overlapping ellipses," Physica A : Statistical Mechanics and its Applications, vol. 462, s. 940-950, 2016.
[50]
G. S. Lobov et al., "Size Impact of Ordered P3HT Nanofibers on Optical Anisotropy," Macromolecular Chemistry and Physics, vol. 217, no. 9, s. 1089-1095, 2016.
[51]
M. M. Atwa et al., "Trilayer Graphene as a Candidate Material for Phase-Change Memory Applications," MRS Advances, vol. 1, no. 20, s. 1487-1494, 2016.
[52]
[53]
H. Elahipanah et al., "5.8-kV Implantation-Free 4H-SiC BJT With Multiple-Shallow-Trench Junction Termination Extension," IEEE Electron Device Letters, vol. 36, no. 2, s. 168-170, 2015.
[54]
I. Z. Mitrovic et al., "Atomic-layer deposited thulium oxide as a passivation layer on germanium," Journal of Applied Physics, vol. 117, no. 21, 2015.
[55]
S. Vaziri et al., "Bilayer insulator tunnel barriers for graphene-based vertical hot-electron transistors," Nanoscale, vol. 7, no. 30, s. 13096-13104, 2015.
[56]
J. Li och M. Östling, "Conductivity scaling in supercritical percolation of nanoparticles : not a power law," Nanoscale, vol. 7, no. 8, s. 3424-3428, 2015.
[57]
L. Lanni et al., "ECL-based SiC logic circuits for extreme temperatures," Materials Science Forum, vol. 821-823, s. 910-913, 2015.
[58]
M. Östling och B. G. Malm, "Editorial Selected papers from the 15th Ultimate Integration on Silicon (ULIS) conference," Solid-State Electronics, vol. 108, s. 1-1, 2015.
[59]
G. S. Lobov et al., "Electric field induced optical anisotropy of P3HT nanofibers in a liquid solution," Optical Materials Express, vol. 5, no. 11, s. 2642-2647, 2015.
[60]
E. Dentoni Litta, P.-E. Hellström och M. Östling, "Enhanced channel mobility at sub-nm EOT by integration of a TmSiO interfacial layer in HfO2/TiN high-k/metal gate MOSFETs," IEEE Journal of the Electron Devices Society, vol. 3, no. 5, s. 397-404, 2015.
[61]
S. Vaziri et al., "Going ballistic : Graphene hot electron transistors," Solid State Communications, vol. 224, s. 64-75, 2015.
[62]
Y. Illarionov et al., "Hot-Carrier Degradation and Bias-Temperature Instability in Single-Layer Graphene Field-Effect Transistors : Similarities and Differences," IEEE Transactions on Electron Devices, vol. 62, no. 11, s. 3876-3881, 2015.
[63]
L. Lanni et al., "Influence of Passivation Oxide Thickness and Device Layout on the Current Gain of SiC BJTs," IEEE Electron Device Letters, vol. 36, no. 1, s. 11-13, 2015.
[64]
E. D. Litta, P.-E. Hellström och M. Östling, "Integration of TmSiO/HfO2 Dielectric Stack in Sub-nm EOT High-k/Metal Gate CMOS Technology," IEEE Transactions on Electron Devices, vol. 62, no. 3, s. 934-939, 2015.
[65]
[66]
[67]
M. Olyaei et al., "Low-frequency noise characterization in ultra-low equivalent-oxide-thickness thulium silicate interfacial layer nMOSFETs," IEEE Electron Device Letters, vol. 36, no. 12, s. 1355-1358, 2015.
[68]
A. Salemi et al., "Optimal Emitter Cell Geometry in High Power 4H-SiC BJTs," IEEE Electron Device Letters, vol. 36, no. 10, s. 1069-1072, 2015.
[69]
S. K. Del et al., "Optimizing the optical and electrical properties of graphene ink thin films by laser-annealing," Current Opinion in Chemical Engineering, vol. 2, no. 1, 2015.
[70]
G. Lupina et al., "Residual Metallic Contamination of Transferred Chemical Vapor Deposited Graphene," ACS Nano, vol. 9, no. 5, s. 4776-4785, 2015.
[71]
A. D. Smith et al., "Resistive graphene humidity sensors with rapid and direct electrical readout," Nanoscale, vol. 7, no. 45, s. 19099-19109, 2015.
[72]
J. Li och M. Östling, "Scalable Fabrication of 2D Semiconducting Crystals for Future Electronics," Electronics, vol. 4, no. 4, s. 1033-1061, 2015.
[73]
K. Smedfors, C.-M. Zetterling och M. Östling, "Sputtered Ohmic Cobalt Silicide Contacts to 4H-SiC," Materials Science Forum, vol. 821-823, s. 440-443, 2015.
[74]
E. Dentoni Litta, P.-E. Hellström och M. Östling, "Threshold voltage control in TmSiO/HfO2 high-k/metal gate MOSFETs," Solid-State Electronics, vol. 108, s. 24-29, 2015.
[75]
S. Rodriguez et al., "A Comprehensive Graphene FET Model for Circuit Design," IEEE Transactions on Electron Devices, vol. 61, no. 4, s. 1199-1206, 2014.
[76]
Y. Y. Illarionov et al., "Bias-temperature instability in single-layer graphene field-effect transistors," Applied Physics Letters, vol. 105, no. 14, s. 143507, 2014.
[77]
J. Xia et al., "Characterization of LaxHfyO Gate Dielectrics in 4H-SiC MOS Capacitor," Materials Science Forum, vol. 778-780, s. 549-552, 2014.
[78]
K. Smedfors et al., "Characterization of Ohmic Ni/Ti/Al and Ni Contacts to 4H-SiC from-40 degrees C to 500 degrees C," Materials Science Forum, vol. 778-780, s. 681-684, 2014.
[79]
S. Kataria et al., "Chemical vapor deposited graphene : From synthesis to applications," Physica Status Solidi (a) applications and materials science, vol. 211, no. 11, s. 2439-2449, 2014.
[80]
A. Eklund et al., "Dependence of the colored frequency noise in spin torque oscillators on current and magnetic field," Applied Physics Letters, vol. 104, no. 9, s. 092405, 2014.
[81]
J. Luo et al., "Effects of carbon pre-silicidation implant into Si substrate on NiSi," Microelectronic Engineering, vol. 120, s. 178-181, 2014.
[83]
A. Salemi et al., "Fabrication and Design of 10 kV PiN Diodes Using On-axis 4H-SiC," Materials Science Forum, vol. 778-780, s. 836-840, 2014.
[84]
[85]
J. Li, M. C. Lemme och M. Östling, "Inkjet Printing of 2D Layered Materials," ChemPhysChem, vol. 15, no. 16, s. 3427-3434, 2014.
[86]
J. Li et al., "Inkjet Printing of MoS2," Advanced Functional Materials, vol. 24, no. 41, s. 6524-6531, 2014.
[87]
L. Lanni et al., "Lateral p-n-p Transistors and Complementary SiC Bipolar Technology," IEEE Electron Device Letters, vol. 35, no. 4, s. 428-430, 2014.
[88]
C. W. Liu, M. Östling och J. B. Hannon, "New materials for post-Si computing," MRS bulletin, vol. 39, no. 8, s. 658-662, 2014.
[89]
L. Lanni et al., "SiC Etching and Sacrificial Oxidation Effects on the Performance of 4H-SiC BJTs," Materials Science Forum, vol. 778-780, s. 1005-1008, 2014.
[90]
G. Jayakumar et al., "Silicon nanowires integrated with CMOS circuits for biosensing application," Solid-State Electronics, vol. 98, s. 26-31, 2014.
[91]
S. Rodriguez et al., "Static Nonlinearity in Graphene Field Effect Transistors," IEEE Transactions on Electron Devices, vol. 61, no. 8, s. 3001-3003, 2014.
[93]
A. Smith et al., "Wafer Scale Graphene Transfer for Back End of the Line Device Integration," INT CONF ULTI INTEGR, s. 29-32, 2014.
[94]
L. Lanni et al., "500 degrees C Bipolar Integrated OR/NOR Gate in 4H-SiC," IEEE Electron Device Letters, vol. 34, no. 9, s. 1091-1093, 2013.
[95]
L. Lanni et al., "A 4H-SiC Bipolar Technology for High-Temperature Integrated Circuits," Journal of Microelectronics and Electronic Packaging, vol. 10, no. 4, s. 155-162, 2013.
[96]
S. Vaziri et al., "A Graphene-Based Hot Electron Transistor," Nano letters (Print), vol. 13, no. 4, s. 1435-1439, 2013.
[97]
S. Vaziri et al., "A manufacturable process integration approach for graphene devices," Solid-State Electronics, vol. 84, s. 185-190, 2013.
[98]
A. Salemi et al., "Area-optimized JTE simulations for 4.5 kV non ion-implanted sic BJT," Materials Science Forum, vol. 740-742, s. 974-977, 2013.
[99]
M. Moeen et al., "Characterization of SiGe/Si multi-quantum wells for infrared sensing," Applied Physics Letters, vol. 103, no. 25, s. 251609, 2013.
[100]
J. Li et al., "Efficient inkjet printing of graphene," Advanced Materials, vol. 25, no. 29, s. 3985-3992, 2013.
[101]
A. Smith et al., "Electromechanical Piezoresistive Sensing in Suspended Graphene Membranes," Nano letters (Print), vol. 13, no. 7, s. 3237-3242, 2013.
[102]
A. Jamshidi et al., "Growth of GeSnSiC layers for photonic applications," Surface & Coatings Technology, vol. 230, s. 106-110, 2013.
[103]
E. Dentoni Litta et al., "High-Deposition-Rate Atomic Layer Deposition of Thulium Oxide from TmCp3 and H2O," Journal of the Electrochemical Society, vol. 160, no. 11, s. D538-D542, 2013.
[104]
L. Lanni et al., "High-temperature characterization of 4H-SiC darlington transistors for low voltage applications," Materials Science Forum, vol. 740-742, s. 966-969, 2013.
[105]
I. Z. Mitrovic et al., "Interface engineering of Ge using thulium oxide : Band line-up study," Microelectronic Engineering, vol. 109, s. 204-207, 2013.
[106]
J. Li och M. Östling, "Percolation thresholds of two-dimensional continuum systems of rectangles," Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, vol. 88, no. 1, s. 012101, 2013.
[107]
A. D. Smith et al., "Pressure sensors based on suspended graphene membranes," Solid-State Electronics, vol. 88, s. 89-94, 2013.
[108]
J. Li och M. Östling, "Prevention of graphene restacking for performance boost of supercapacitors-a review," Crystals, vol. 3, no. 1, s. 163-190, 2013.
[109]
H. Elahipanah et al., "Process variation tolerant 4H-SiC power devices utilizing trench structures," Materials Science Forum, vol. 740-742, s. 809-812, 2013.
[110]
V. Gudmundsson et al., "Simulation of low Schottky barrier MOSFETs using an improved Multi-subband Monte Carlo model," Solid-State Electronics, vol. 79, s. 172-178, 2013.
[111]
E. Dentoni Litta et al., "Thulium silicate interfacial layer for scalable high-k/metal gate stacks," IEEE Transactions on Electron Devices, vol. 60, no. 10, s. 3271-3276, 2013.
[112]
J. Li et al., "A simple route towards high-concentration surfactant-free graphene dispersions," Carbon, vol. 50, no. 8, s. 3113-3116, 2012.
[113]
M. M. Naiini et al., "ALD high-k layer grating couplers for single and double slot on-chip SOI photonics," Solid-State Electronics, vol. 74, s. 58-63, 2012.
[114]
L. Lanni et al., "Bipolar integrated OR-NOR gate in 4H-SiC," Materials Science Forum, vol. 717-720, s. 1257-1260, 2012.
[115]
J. Li och M. Östling, "Corrected finite-size scaling in percolation," Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, vol. 86, no. 4, s. 040105, 2012.
[116]
V. Gudmundsson, P.-E. Hellström och M. Östling, "Error Propagation in Contact Resistivity Extraction Using Cross-Bridge Kelvin Resistors," IEEE Transactions on Electron Devices, vol. 59, no. 6, s. 1585-1591, 2012.
[117]
C.-M. Zetterling et al., "Future high temperature applications for SiC integrated circuits," Physica Status Solidi. C, Current topics in solid state physics, vol. 9, no. 7, s. 1647-1650, 2012.
[118]
M. Kolahdouz, M. Östling och H. H. Radamson, "High performance infra-red detectors based on Si/SiGe multilayers quantum structure," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 177, no. 17, s. 1563-1566, 2012.
[119]
[120]
B. Buono et al., "Investigation of Current Gain Degradation in 4H-SiC Power BJTs," Materials Science Forum, vol. 717-720, s. 1131-1134, 2012.
[121]
M. Kolahdouz et al., "Kinetic Modeling of Low Temperature Epitaxy Growth of SiGe Using Disilane and Digermane," Journal of the Electrochemical Society, vol. 159, no. 5, s. H478-H481, 2012.
[122]
M. Olyaei et al., "Low-Frequency Noise in High-k LaLuO3/TiN MOSFETs," Solid-State Electronics, vol. 78, no. SI, s. 51-55, 2012.
[123]
S. Rodriguez et al., "RF Performance Projections of Graphene FETs vs. Silicon MOSFETs," ECS Transactions, vol. 1, no. 5, s. Q39-Q41, 2012.
[124]
J. Li et al., "Threshold of hierarchical percolating systems," Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, vol. 85, no. 2, s. 021109, 2012.
[125]
W. Mehr et al., "Vertical Graphene Base Transistor," IEEE Electron Device Letters, vol. 33, no. 5, s. 691-693, 2012.
[126]
S. Vaziri, M. Östling och M. C. Lemme, "A Hysteresis-Free High-k Dielectric and Contact Resistance Considerations for Graphene Field Effect Transistors," ECS Transactions, vol. 41, no. 7, s. 165-171, 2011.
[127]
B. Buono et al., "Current Gain Degradation in 4H-SiC Power BJTs," Materials Science Forum, vol. 679-680, s. 702-705, 2011.
[128]
M. Östling, G. Malm och H. H. Radamson, "Foreword," Solid-State Electronics, vol. 60, no. 1, 2011.
[129]
R. Ghandi et al., "High Voltage (2.8 kV) Implantation-free 4H-SiC BJTs with Long-TermStability of the Current Gain," IEEE Transactions on Electron Devices, vol. 58, no. 8, s. 2665-2669, 2011.
[130]
R. Ghandi et al., "High Voltage, Low On-resistance 4H-SiC BJTs with Improved Junction Termination Extension," Materials Science Forum, vol. 679-680, s. 706-709, 2011.
[131]
R. Ghandi et al., "High current-gain implantation-free 4H-SiC Monolithic Darlington Transistor," IEEE Electron Device Letters, vol. 32, no. 2, s. 188-190, 2011.
[132]
M. Östling, "High power devices in wide bandgap semiconductors," SCI CHINA-INF SCI, vol. 54, no. 5, s. 1087-1093, 2011.
[133]
L. Donetti et al., "Hole effective mass in silicon inversion layers with different substrate orientations and channel directions," Journal of Applied Physics, vol. 110, no. 6, s. 063711, 2011.
[134]
J. Li et al., "Ink-jet printed thin-film transistors with carbon nanotube channels shaped in long strips," Journal of Applied Physics, vol. 109, no. 8, 2011.
[136]
B. Buono et al., "Modeling and Characterization of the ON-Resistance in 4H-SiC Power BJTs," IEEE Transactions on Electron Devices, vol. 58, no. 7, s. 2081-2087, 2011.
[137]
J. Luo et al., "On Different Process Schemes for MOSFETs With a Controllable NiSi-Based Metallic Source/Drain," IEEE Transactions on Electron Devices, vol. 58, no. 7, s. 1898-1906, 2011.
[139]
R. Ghandi et al., "Removal of Crystal Orientation Effects on the Current Gain of 4H-SiC BJTs Using Surface Passivation," IEEE Electron Device Letters, vol. 32, no. 5, s. 596-598, 2011.
[140]
R. Ghandi et al., "Surface-passivation effects on the performance of 4H-SiC BJTs," IEEE Transactions on Electron Devices, vol. 58, s. 259-265, 2011.
[141]
M. Kolahdouz et al., "The performance improvement evaluation for SiGe-based IR detectors," Solid-State Electronics, vol. 62, no. 1, s. 72-76, 2011.
[142]
R. Ghandi et al., "Experimental evaluation of different passivation layers on the performance of 3kV 4H-SiC BJTs," Materials Science Forum, vol. 645-648, no. Part 1-2, s. 661-664, 2010.
[143]
B. Buono et al., "Influence of Emitter Width and Emitter-Base Distance on the Current Gain in 4H-SiC Power BJTs," IEEE Transactions on Electron Devices, vol. 57, no. 10, s. 2664-2670, 2010.
[144]
J. Luo et al., "Interaction of NiSi with dopants for metallic source/drain applications," Journal of Vacuum Science & Technology B, vol. 28, no. 1, s. C1I1-C1I11, 2010.
[145]
A. Hallén et al., "Low-Temperature Annealing of Radiation-Induced Degradation in 4H-SiC Bipolar Junction Transistors," IEEE Electron Device Letters, vol. 31, no. 7, s. 707-709, 2010.
[146]
B. Buono et al., "Modeling and Characterization of Current Gain Versus Temperature in 4H-SiC Power BJTs," IEEE Transactions on Electron Devices, vol. 57, no. 3, s. 704-711, 2010.
[147]
J. Lu et al., "On Epitaxy of Ultrathin Ni1-xPtx Silicide Films on Si(001)," Electrochemical and solid-state letters, vol. 13, no. 10, s. H360-H362, 2010.
[148]
S. Persson et al., "Strained-Silicon Heterojunction Bipolar Transistor," IEEE Transactions on Electron Devices, vol. 57, no. 6, s. 1243-1252, 2010.
[149]
[150]
B. Buono et al., "Temperature Modeling and Characterization of the Current Gain in 4H-SiC Power BJTs," Materials Science Forum, vol. 645-648, s. 1061-1064, 2010.
[151]
H.-S. Lee et al., "1200 V 4H-SiC BJTs with a Common Emitter Current Gain of 60 and Low On-resistance," Materials Science Forum, vol. 600-603, s. 1151-1154, 2009.
[153]
R. Ghandi et al., "Backside Nickel Based Ohmic Contacts to n-type Silicon Carbide," Materials Science Forum, vol. 600-603, s. 635-638, 2009.
[154]
M. Kolahdouz et al., "Comprehensive Evaluation and Study of Pattern Dependency Behavior in Selective Epitaxial Growth of B-Doped SiGe Layers," IEEE transactions on nanotechnology, vol. 8, no. 3, s. 291-297, 2009.
[155]
J. Luo et al., "Effect of carbon on Schottky barrier heights of NiSi modified by dopant segregation," IEEE Electron Device Letters, vol. 30, no. 6, 2009.
[156]
J. Luo et al., "Effects of Carbon on Schottky Barrier Heights of NiSi Modified by Dopant Segregation," IEEE Electron Device Letters, vol. 30, no. 6, s. 608-610, 2009.
[157]
[158]
R. Ghandi et al., "High-Voltage 4H-SiC PiN Diodes With Etched Junction Termination Extension," IEEE Electron Device Letters, vol. 30, no. 11, s. 1170-1172, 2009.
[160]
M. Kolahdouz et al., "New method to calibrate the pattern dependency of selective epitaxy of SiGe layers," Solid-State Electronics, vol. 53, no. 8, s. 858-861, 2009.
[161]
M. Kolahdouz et al., "Selective Epitaxial Growth with Full Control of Pattern Dependency Behavior for pMOSFET Structures," Journal of the Electrochemical Society, vol. 156, no. 3, s. H169-H171, 2009.
[162]
B. Buono et al., "Simulations of Open Emitter Breakdown Voltage in SiC BJTs with non Implanted JTE," Materials Science Forum, vol. 615-617, s. 841-844, 2009.
[163]
S. H. Olsen et al., "Strained Si/SiGe MOS technology : Improving gate dielectric integrity," Microelectronic Engineering, vol. 86, no. 3, s. 218-223, 2009.
[166]
R. Ghandi et al., "Fabrication of 2700-v 12-m Omega center dot cm(2) non ion-implanted 4H-SiC BJTs with common-emitter current gain of 50," IEEE Electron Device Letters, vol. 29, no. 10, s. 1135-1137, 2008.
[167]
H. H. Radamson et al., "High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 154, s. 106-109, 2008.
[168]
H.-S. Lee et al., "High-Current-Gain SiC BJTs With Regrown Extrinsic Base and Etched JTE," IEEE Transactions on Electron Devices, vol. 55, no. 8, s. 1894-1898, 2008.
[170]
H.-S. Lee et al., "Low-forward-voltage-drop 4H-SiC BJTs without base contact implantation," IEEE Transactions on Electron Devices, vol. 55, no. 8, s. 1907-1911, 2008.
[171]
F. Balestra et al., "NANOSIL network of excellence-silicon-based nanostructures and nanodevices for long-term nanoelectronics applications," Materials Science in Semiconductor Processing, vol. 11, no. 5-6, s. 148-159, 2008.
[172]
F. Driussi et al., "On the electron mobility enhancement in biaxially strained Si MOSFETs," Solid-State Electronics, vol. 52, no. 4, s. 498-505, 2008.
[173]
Z. Zhang et al., "Performance fluctuation of FinFETs with Schottky barrier source/drain," IEEE Electron Device Letters, vol. 29, no. 5, s. 506-508, 2008.
[174]
A. O'Neill et al., "Reduced self-heating by strained silicon substrate engineering," Applied Surface Science, vol. 254, no. 19, s. 6182-6185, 2008.
[175]
Z. Zhang et al., "SB-MOSFETs in UTB-SOI featuring PtSi source/drain with dopant segregation," IEEE Electron Device Letters, vol. 29, no. 1, s. 125-127, 2008.
[176]
H.-S. Lee et al., "Surface passivation oxide effects on the current gain of 4H-SiC bipolar junction transistors," Applied Physics Letters, vol. 92, no. 8, s. 082113-1-082113-3, 2008.
[177]
H.-S. Lee et al., "1200-V 5.2-m Omega center dot cm(2) 4H-SiC BJTs with a high common-emitter current gain," IEEE Electron Device Letters, vol. 28, no. 11, s. 1007-1009, 2007.
[178]
H.-S. Lee et al., "4H-SiC power BJTs with high current gain and low on-resistance," Materials Science Forum, vol. 556-557, s. 767-770, 2007.
[179]
H.-S. Lee et al., "A comparative study of surface passivation on SiC BJTs with high current gain," Materials Science Forum, vol. 556-557, s. 631-634, 2007.
[180]
A. Berrier et al., "Carrier transport through a dry-etched InP-based two-dimensional photonic crystal," Journal of Applied Physics, vol. 101, no. 12, s. 123101-1-123101-6, 2007.
[181]
[182]
R. Ghandi et al., "High boron incorporation in selective epitaxial growth of SiGe layers," Journal of materials science. Materials in electronics, vol. 18, no. 7, s. 747-751, 2007.
[183]
M. von Haartman et al., "Impact of strain and channel orientation on the low-frequency noise performance of Si n- and pMOSFETs," Solid-State Electronics, vol. 51, no. 5, s. 771-777, 2007.
[184]
[185]
Z. Zhang et al., "A novel self-aligned process for platinum silicide nanowires," Microelectronic Engineering, vol. 83, no. 11-12, s. 2107-2111, 2006.
[186]
J. Hållstedt et al., "A robust spacer gate process for deca-nanometer high-frequency MOSFETs," Microelectronic Engineering, vol. 83, no. 3, s. 434-439, 2006.
[187]
M. von Haartman, G. Malm och M. Östling, "Comprehensive study on low-frequency noise and mobility in Si and SiGe pMOSFETs with high-κ gate dielectrics and TiN gate," IEEE Transactions on Electron Devices, vol. 53, no. 4, s. 836-846, 2006.
[188]
S. H. Olsen et al., "Control of self-heating in thin virtual substrate strained Si MOSFETs," IEEE Transactions on Electron Devices, vol. 53, no. 9, s. 2296-2305, 2006.
[189]
M. Domeij et al., "Current gain dependence on emitter width in 4H-SiC BJTs," Materials Science Forum, vol. 527-529, s. 1425-1428, 2006.
[190]
Z. Zhang et al., "Electrically robust ultralong nanowires of NiSi, Ni2Si and Ni31Si12," Applied Physics Letters, vol. 88, no. 4, s. 043104, 2006.
[192]
J. Hållstedt et al., "Hole mobility in ultrathin body SOI pMOSFETs with SiGe or SiGeC channels," IEEE Electron Device Letters, vol. 27, no. 6, s. 466-468, 2006.
[193]
T. Johansson et al., "Influence of SOI-generated stress on BiCMOS performance," Solid-State Electronics, vol. 50, no. 6, s. 935-942, 2006.
[194]
H. H. Radamson, J. Hållstedt och M. Östling, "Integration of selective SiGe epitaxy for source/drain application in MOSFETs," Semiconductor Science and Technology, vol. 22, no. 1, s. 123-126, 2006.
[195]
H.-S. Lee et al., "Investigation of TiW contacts to 4H-SiC bipolar junction devices," Materials Science Forum, vol. 527-529, s. 887-890, 2006.
[196]
P. Moller et al., "Metal printing ECPR of copper interconnects down to 500 nm using - Electrochemical pattern replication," Microelectronic Engineering, vol. 83, no. 09-apr, s. 1410-1413, 2006.
[197]
Z. Zhang et al., "Ni2Si nanowires of extraordinarily low resistivity," Applied Physics Letters, vol. 88, no. 21, s. 213103, 2006.
[198]
J. Hållstedt et al., "Noise and mobility characteristics of bulk and fully depleted SOI pMOSFETs using Si or SiGe channels," ECS Transactions, vol. 3, no. 7, s. 67-72, 2006.
[199]
J. B. Varzgar et al., "Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 135, no. 3, s. 203-206, 2006.
[200]
Z. Zhang et al., "Robust, scalable self-aligned platinum silicide process," Applied Physics Letters, vol. 88, no. 14, s. 142114, 2006.
[201]
E. Danielsson et al., "A 4H-SiC BJT with an Epitaxially Regrown Extrinsic Base Layer," Materials Science Forum, vol. 483-485, s. 905-908, 2005.
[202]
B. G. Malm et al., "Base resistance scaling for SiGeC HBTs with a fully nickel-silicided extrinsic base," IEEE Electron Device Letters, vol. 26, no. 4, s. 246-248, 2005.
[203]
M. Domeij et al., "Current gain of 4H-SiC bipolar transistors including the effect of interface states," Materials Science Forum, vol. 483, s. 889-892, 2005.
[204]
H.-S. Lee et al., "Electrical characteristics of 4H-SiC BJTs at elevated temperatures," Materials Science Forum, vol. 483-485, s. 897-900, 2005.
[205]
M. Domeij et al., "Geometrical effects in high current gain 1100-V 4H-SiC BJTs," IEEE Electron Device Letters, vol. 26, no. 10, s. 743-745, 2005.
[206]
H. Radamson et al., "Improvement in epitaxial quality of selectively grown Si1-xGex layers with low pattern sensitivity for CMOS applications," Materials Science in Semiconductor Processing, vol. 8, no. 03-jan, s. 25-30, 2005.
[207]
J. Hållstedt et al., "Incorporation of boron in SiGe(C) epitaxial layers grown by reduced pressure chemical vapor deposition," Materials Science in Semiconductor Processing, vol. 8, no. 03-jan, s. 97-101, 2005.
[208]
[209]
M. von Haartman et al., "Low-frequency noise and Coulomb scattering in Si0.8Ge0.2 surface channel pMOSFETs with ALD Al2O3 gate dielectrics," Solid-State Electronics, vol. 49, no. 6, s. 907-914, 2005.
[210]
D. Wu et al., "Ni-salicided CMOS with a poly-SiGe/Al2O3/HfO2/Al2O3 gate stack," Microelectronic Engineering, vol. 77, no. 1, s. 36-41, 2005.
[211]
E. Haralson et al., "NiSi integration in a non-selective base SiGeCHBT process," Materials Science in Semiconductor Processing, vol. 8, no. 03-jan, s. 245-248, 2005.
[212]
B. G. Malm et al., "Self-heating effects in a BiCMOS on SOI technology for RFIC applications," IEEE Transactions on Electron Devices, vol. 52, no. 7, s. 1423-1428, 2005.
[213]
D. Wu et al., "Structural and electrical characterization of Al2O3/HfO2/Al2O3 on strained SiGe," Solid-State Electronics, vol. 49, no. 2, s. 193-197, 2005.
[214]
C. Isheden et al., "pMOSFETs with recessed and selectively regrown Si1-xGex source/drain junctions," Materials Science in Semiconductor Processing, vol. 8, no. 1-3, s. 359-362, 2005.
[215]
J. Hållstedt et al., "Application of selective epitaxy for formation of ultra shallow SiGe-based junctions," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 114-115, no. SPEC. ISS, s. 180-183, 2004.
[216]
E. Suvar et al., "As- or P-doped Si layers grown by RPCVD for emitter application in SiGeCHBTs," Physica Scripta, vol. T114, s. 34-36, 2004.
[218]
E. Haralson, B. G. Malm och M. Östling, "Device design for a raised extrinsic base SiGe bipolar technology," Solid-State Electronics, vol. 48, no. 11-okt, s. 1927-1931, 2004.
[219]
E. Danielsson et al., "Extrinsic base design of SiC bipolar transistors," Materials Science Forum, vol. 457-460, no. II, s. 1117-1120, 2004.
[220]
[221]
C. Isheden et al., "Formation of shallow junctions by HCl-based Si etch followed by selective epitaxy of B-doped Si1-xGex in RPCVD," Journal of the Electrochemical Society, vol. 151, no. 6, s. C365-C368, 2004.
[222]
W. Liu et al., "High frequency measurements and simulations of SiC MESFETs up to 250 degrees C," Materials Science Forum, vol. 457-460, s. 1209-1212, 2004.
[223]
[224]
[225]
C. Isheden et al., "MOSFETs with recessed SiGe Source/Drain junctions formed by selective etching and growth," Electrochemical and solid-state letters, vol. 7, no. 4, s. G53-G55, 2004.
[226]
J. Hållstedt et al., "Methods to reduce the loading effect in selective and non-selective epitaxial growth of sigec layers," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 109, no. 03-jan, s. 122-126, 2004.
[227]
D. Wu et al., "Notched-gate pMOSFET with ALD TiN/high-kappa gate stack formed by selective wet etching," Electrochemical and solid-state letters, vol. 7, no. 10, s. G228-G230, 2004.
[229]
C. Isheden et al., "Selective Si etching using HCl vapor," Physica Scripta, vol. T114, s. 107-109, 2004.
[230]
S.-M. Koo et al., "SiC JMOSFETs for high-temperature stable circuit operation," Materials Science Forum, vol. 457-460, s. 1445-1448, 2004.
[231]
H.-S. Lee et al., "Simulation study of 4H-SiC junction-gated MOSFETs from 300 K to 773 K," Materials Science Forum, vol. 457-460, s. 1437-1440, 2004.
[232]
[233]
E. Haralson et al., "The effect of C on emitter-base design for a single-polysilicon SiGe : C HBT with an IDP emitter," Applied Surface Science, vol. 224, no. 1-4, s. 330-335, 2004.
[234]
M. Östling et al., "Thin films in silicon carbide semiconductor devices," Proceedings of SPIE, the International Society for Optical Engineering, vol. 5774, s. 5-10, 2004.
[235]
M. von Haartman et al., "1/f noise in Si and Si0.7Ge0.3 pMOSFETs," IEEE Transactions on Electron Devices, vol. 50, s. 2513-2519, 2003.
[236]
D. Wu et al., "A novel strained Si0.7Ge0.3 surface-channel pMOSFET with an ALD TiN/Al2O3/HfAlOx/Al2O3 gate stack," IEEE Electron Device Letters, vol. 24, no. 3, s. 171-173, 2003.
[237]
S. M. Koo et al., "Combination of JFET and MOSFET devices in 4H-SiC for high-temperature stable circuit operation," Electronics Letters, vol. 39, no. 12, s. 933-935, 2003.
[238]
W. Liu et al., "Electro-Thermal Simulations and Measurement of Silicon Carbide Bipolar Transistors," Materials Science Forum, vol. 433-436, s. 781-784, 2003.
[239]
S. M. Koo et al., "Ferroelectric Pb(Zr0.52Ti0.48)/SiC field-effect transistor," Applied Physics Letters, vol. 83, no. 19, s. 3975-3977, 2003.
[240]
C. Isheden et al., "Formation of Ni mono-germanosilicide on heavily B-doped epitaxial SiGe for ultra-shallow source/drain contacts," Materials Research Society Symposium Proceedings, vol. 745, s. 117-122, 2003.
[241]
E. Danielson et al., "Investigation of thermal properties in fabricated 4H-SiC high power bipolar transistors," Solid-State Electronics, vol. 47, no. 4, s. 639-644, 2003.
[242]
S.-L. Zhang och M. Östling, "Metal silicides in CMOS technology : Past, present, and future trends," Critical reviews in solid state and materials sciences, vol. 28, no. 1, s. 1-129, 2003.
[243]
S. M. Koo et al., "Processing and properties of ferroelectric Pb(Zr,Ti)O-3/silicon carbide field-effect transistor," Integrated Ferroelectrics, vol. 57, s. 1221-1231, 2003.
[244]
S. -. Koo et al., "Simulation and Measurement of Switching Characteristics of 4H-SiC Buried-Gate JFETs," Materials Science Forum, vol. 433-436, s. 773-776, 2003.
[245]
M. Sanden et al., "A new model for the low-frequency noise and the noise level variation in polysilicon emitter BJTs," IEEE Transactions on Electron Devices, vol. 49, no. 3, s. 514-520, 2002.
[246]
E. Danielsson et al., "Characterization of heterojunction diodes with hydride vapor phase epitaxy grown AlGaN on 4H-SiC," Journal of Applied Physics, vol. 91, no. 4, s. 2372-2379, 2002.
[247]
A. C. Lindgren et al., "Characterization of strained Si/Si1-xGex/Si heterostructures annealed in oxygen or argon," Journal of Applied Physics, vol. 91, no. 5, s. 2708-2712, 2002.
[248]
J. Pejnefors et al., "Chemical vapor deposition of silicon in a lamp-heated reactor - Effects of heat absorption, emission, and conduction," Journal of the Electrochemical Society, vol. 149, no. 6, s. C355-C361, 2002.
[249]
S. M. Koo et al., "Electrical characteristics of metal-oxide-semiconductor capacitors on plasma etch-damaged silicon carbide," Solid-State Electronics, vol. 46, no. 9, s. 1375-1380, 2002.
[250]
S. K. Lee et al., "Electrical characterization of titanium-based ohmic contacts to 4H-Silicon carbide for high-power and high-temperature operation," Journal of the Korean Physical Society, vol. 40, no. 4, s. 572-576, 2002.
[251]
S. M. Koo et al., "Ferroelectric Pb(Zr,Ti)O-3/Al2O3/4H-SiC diode structures," Applied Physics Letters, vol. 81, no. 5, s. 895-897, 2002.
[252]
B. G. Malm och M. Östling, "Ge-profile design for improved linearity of SiGe double HBTs," IEEE Electron Device Letters, vol. 23, no. 1, s. 19-21, 2002.
[253]
S. -. Koo et al., "Influence of trenching effect on the characteristics of buried-gate SiC junction field-effect transistors," Materials Science Forum, vol. 389-393, no. 2, s. 1235-1238, 2002.
[254]
E. Danielsson et al., "Investigation of thermal properties in fabricated 4H-SiC high-power bipolar transistors," Materials Science Forum, vol. 389-393, no. 2, s. 1337-1340, 2002.
[255]
S. K. Lee et al., "Low resistivity ohmic contacts on 4H-silicon carbide for high power and high temperature device applications," Microelectronic Engineering, vol. 60, no. 02-jan, s. 261-268, 2002.
[257]
B. G. Malm och M. Östling, "Mixed mode circuit and device simulation of RF harmonic distortion for high-speed SiGeHBTs," Solid-State Electronics, vol. 46, no. 10, s. 1567-1571, 2002.
[258]
S. K. Lee et al., "Ohmic contact formation on inductively coupled plasma etched 4H-silicon carbide," Journal of Electronic Materials, vol. 31, no. 5, s. 340-345, 2002.
[259]
M. von Haartman et al., "Random telegraph signal noise in SiGe heterojunction bipolar transistors," Journal of Applied Physics, vol. 92, no. 8, s. 4414-4421, 2002.
[260]
S. K. Lee et al., "Reduction of the Schottky barrier height on silicon carbide using Au nano-particles," Solid-State Electronics, vol. 46, no. 9, s. 1433-1440, 2002.
[262]
E. Danielsson et al., "The influence of band offsets on the IV characteristics for GaN/SiC heterojunctions," Solid-State Electronics, vol. 46, no. 6, s. 827-835, 2002.
[263]
[264]
S. Persson et al., "Buffer design and insertion for global interconnections in 0.1 mu m technology," Microelectronic Engineering, vol. 55, no. 04-jan, s. 19-28, 2001.
[265]
J. Pejnefors et al., "Effects of growth kinetics and surface emissivity on chemical vapor deposition of silicon in a lamp-heated single-wafer reactor," Electrochemical and solid-state letters, vol. 4, no. 11, s. G98-G100, 2001.
[266]
E. Danielsson et al., "Fabrication and characterization of heterojunction diodes with HVPE-Grown GaN on 4H-SiC," IEEE Transactions on Electron Devices, vol. 48, no. 3, s. 444-449, 2001.
[267]
B. G. Malm et al., "Implanted collector profile optimization in a SiGeHBT process," Solid-State Electronics, vol. 45, no. 3, s. 399-404, 2001.
[268]
E. Danielsson et al., "Inductively coupled plasma etch damage in 4H-SiC investigated by Schottky diode characterization," Journal of Electronic Materials, vol. 30, no. 3, s. 247-252, 2001.
[269]
M. Sanden et al., "Lateral base design rules for optimized low-frequency noise of differentially grown SiGe heterojunction bipolar transistors," Microelectronics and reliability, vol. 41, no. 6, s. 881-886, 2001.
[270]
M. Sanden et al., "Modeling the variation of the low-frequency noise in polysilicon emitter bipolar junction transistors," IEEE Electron Device Letters, vol. 22, no. 5, s. 242-244, 2001.
[271]
T. Winzell et al., "Scanning mu-RBS characterisation of local loading effects of non-selectively epitaxially grown SiGe thin films," Nuclear Instruments and Methods in Physics Research Section B : Beam Interactions with Materials and Atoms, vol. 179, no. 1, s. 121-125, 2001.
[272]
S. K. Lee, C.-M. Zetterling och M. Östling, "Schottky barrier height dependence on the metal work function for p-type 4H-silicon carbide," Journal of Electronic Materials, vol. 30, no. 3, s. 242-246, 2001.
[273]
L. Persson et al., "Separation of mass-overlapped time of flight-energy elastic recoil detection analysis data using Ryan and Jamieson's dynamic analysis method," Nuclear Instruments and Methods in Physics Research Section B : Beam Interactions with Materials and Atoms, vol. 179, no. 3, s. 403-411, 2001.
[275]
F. Dahlquist et al., "2.8 kV, forward drop JBS diode with low leakage," Materials Science Forum, vol. 338-342, s. 1179-1182, 2000.
[277]
M. Sanden et al., "A new test structure for extracting extrinsic parameters in double-polysilicon bipolar transistors," IEEE Transactions on Electron Devices, vol. 47, no. 9, s. 1767-1769, 2000.
[278]
N. Lundberg et al., "CVD-based tungsten carbide Schottky contacts to 6H-SiC for very high-temperature operation," Journal of Electronic Materials, vol. 29, no. 3, s. 372-375, 2000.
[280]
M. Sanden et al., "Decreased low frequency noise by hydrogen passivation of polysilicon emitter bipolar transistors," Microelectronics and reliability, vol. 40, no. 11, s. 1863-1867, 2000.
[281]
E. Danielsson et al., "Dry etching and metallization schemes in a GaN/SiC heterojunction device process," Materials Science Forum, vol. 338-342, s. 1049-1052, 2000.
[282]
M. Domeij et al., "Dynamic avalanche in Si power diodes and impact ionization at the nn(+) junction," Solid-State Electronics, vol. 44, no. 3, s. 477-485, 2000.
[283]
P. Leerungnawarat et al., "Effect of UV light irradiation on SiC dry etch rates," Journal of Electronic Materials, vol. 29, no. 3, s. 342-346, 2000.
[284]
S. K. Lee et al., "Electrical characterization of TiC ohmic contacts to aluminum ion implanted 4H-silicon carbide," Applied Physics Letters, vol. 77, no. 10, s. 1478-1480, 2000.
[285]
M. Linder et al., "Extraction of emitter and base series resistances of bipolar transistors from a single DC measurement," IEEE transactions on semiconductor manufacturing, vol. 13, no. 2, s. 119-126, 2000.
[286]
B. G. Malm, J. V. Grahn och M. Östling, "Influence of transient enhanced diffusion of the intrinsic base dopant profile on SiGeHBT DC and HF characteristics," Solid-State Electronics, vol. 44, no. 10, s. 1747-1752, 2000.
[287]
L. W. Wang et al., "Investigation of damage behaviour and isolation effect of n-type 6H-SiC by implantation of oxygen," Journal of Physics D : Applied Physics, vol. 33, no. 12, s. 1551-1555, 2000.
[288]
S. K. Lee et al., "Low resistivity ohmic titanium carbide contacts to n- and p-type 4H-silicon carbide," Solid-State Electronics, vol. 44, no. 7, s. 1179-1186, 2000.
[289]
M. Linder et al., "On DC modeling of the base resistance in bipolar transistors," Solid-State Electronics, vol. 44, no. 8, s. 1411-1418, 2000.
[290]
S. K. Lee, C.-M. Zetterling och M. Östling, "Schottky diode formation and characterization of titanium tungsten to n- and p-type 4H silicon carbide," Journal of Applied Physics, vol. 87, no. 11, s. 8039-8044, 2000.
[291]
C.-M. Zetterling et al., "SiC MISFETs with MBE-grown AlN gate dielectric," Materials Science Forum, vol. 338-342, s. 1315-1318, 2000.
[292]
L. W. Wang et al., "Structural and electrical characteristics of oxygen-implanted 6H-SiC," Nuclear Instruments and Methods in Physics Research Section B : Beam Interactions with Materials and Atoms, vol. 169, s. 1-5, 2000.
[293]
H. Cho et al., "Ultradeep, low-damage dry etching of SiC," Applied Physics Letters, vol. 76, no. 6, s. 739-741, 2000.
[294]
M. Domeij et al., "Dynamic avalanche in 3.3-kV Si power diodes," IEEE Transactions on Electron Devices, vol. 46, no. 4, s. 781-786, 1999.
[295]
J. Hong et al., "Plasma chemistries for high density plasma etching of SiC," Journal of Electronic Materials, vol. 28, no. 3, s. 196-201, 1999.
[296]
[297]
E. Danielsson et al., "Simulation and electrical characterization of GaN/SiC and AlGaN/SiC heterodiodes," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 61-62, s. 320-324, 1999.
[298]
M. Domeij et al., "Stable dynamic avalanche in Si power diodes," Applied Physics Letters, vol. 74, no. 21, s. 3170-3172, 1999.
[299]
L. Wang et al., "Study of optical characteristics of damage in oxygen-implanted 6H-SiC," Journal of materials science letters, vol. 18, no. 12, s. 979-982, 1999.
[300]
C.-M. Zetterling et al., "UV-ozone precleaning and forming gas annealing applied to wet thermal oxidation of p-type silicon carbide," Materials Science in Semiconductor Processing, vol. 2, no. 1, s. 23-27, 1999.
[301]
P. Leerungnawarat et al., "Via-hole etching for SiC," Journal of Vacuum Science & Technology B, vol. 17, s. 2050-2054, 1999.
[302]
C.-M. Zetterling et al., "Comparison of SiO2 and AlN as gate dielectric for SiC MOS structures," Materials Science Forum, vol. 264-268, s. 877-880, 1998.
[303]
J. J. Wang et al., "High rate etching of SiC and SiCN in NF3 inductively coupled plasmas," Solid-State Electronics, vol. 42, no. 5, s. 743-747, 1998.
[304]
J. J. Wang et al., "ICP etching of SiC," Solid-State Electronics, vol. 42, no. 12, s. 2283-2288, 1998.
[305]
J. J. Wang et al., "Inductively coupled plasma etching of bulk 6H-SiC and thin-film SiCN in NF3 chemistries," Journal of Vacuum Science & Technology. A. Vacuum, Surfaces, and Films, vol. 16, no. 4, s. 2204-2209, 1998.
[306]
F. Dahlquist et al., "Junction barrier Schottky diodes in 4H-SiC and 6H-SiC," Materials Science Forum, vol. 264-268, no. PART 2, s. 1061-1064, 1998.
[307]
C.-M. Zetterling et al., "Junction barrier Schottky diodes in 6H SiC," Solid-State Electronics, vol. 42, no. 9, s. 1757-1759, 1998.
[308]
E. Danielsson et al., "Thermal stability of sputtered TiN as metal gate on 4H-SiC," Materials Science Forum, vol. 264-268, no. PART 2, s. 805-808, 1998.
[309]
M. Domeij et al., "Avalanche injection in high voltage Si PiN diodes," Physica scripta. T, vol. T69, s. 134-137, 1997.
[310]
C.-M. Zetterling et al., "Influence of growth conditions on electrical characteristics of AlN on SiC," Applied Physics Letters, vol. 70, no. 26, s. 3549-3551, 1997.
[311]
C.-M. Zetterling et al., "Investigation of aluminum nitride grown by metal-organic chemical-vapor deposition on silicon carbide," Journal of Applied Physics, vol. 82, no. 6, s. 2990-2995, 1997.
[312]
C.-M. Zetterling och M. Östling, "A novel UMOS capacitor test structure for SiC devices," Solid-State Electronics, vol. 39, no. 9, s. 1396-1397, 1996.
[313]
C.-M. Zetterling och M. Östling, "Thermal oxidation of n- and p-type 6H-silicon carbide," Physica scripta. T, vol. T54, s. 291-294, 1994.
[314]
N. Lundberg, C.-M. Zetterling och M. Östling, "Temperature stability of cobalt Schottky contacts on n- and p-type 6H silicon carbide," Applied Surface Science, vol. 73, no. C, s. 316-321, 1993.

Konferensbidrag

[315]
L. Zurauskaite, M. Östling och P.-E. Hellström, "Improvement on Ge/GeOx/Tm2O3/HfO2 Gate Performance by Forming Gas Anneal," i IEEE 51st European Solid-State Device Research Conference ESSDERC 2021, Grenoble, France [virtual] 13-17 September 2021, 2021.
[316]
L. Zurauskaite, M. Östling och P.-E. Hellström, "Improvement on Ge/GeOx/Tm2O3/HfO2 Gate Performance by Forming Gas Anneal," i IEEE 51ST EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2021), 2021, s. 227-230.
[318]
J. Inoue et al., "4H-SIC trench pMOSFETs for high-frequency CMOS inverters," i Silicon Carbide and Related Materials 2018, 2019, s. 837-840.
[319]
S. Hou et al., "High Temperature High Current Gain IC Compatible 4H-SiC Phototransistor," i European Conference on Silicon Carbide and Related Materials (ECSCRM 2018), Birmingham, United Kingdom, 2-6 September 2018, 2019.
[320]
S. Hou et al., "Process Control and Optimization of 4H-SiC Semiconductor Devices and Circuits," i Proceedings of the 3rd Electron Devices Technology and Manufacturing, (EDTM) Conference 2019, 2019.
[321]
T. Ishii et al., "Suppression of short-channel effects in 4H-SIC trench MOSFETS," i Silicon Carbide and Related Materials 2018, 2019, s. 613-616.
[322]
J. Kajihara et al., "4H-SiC pMOSFETs with al-doped S/D and NbNi silicide ohmic contacts," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 423-427.
[323]
A. Salemi et al., "Conductivity modulated and implantation-free 4H-SiC ultra-high-voltage PiN Diodes," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 568-572.
[324]
A. Abedin et al., "GOI fabrication for monolithic 3D integration," i 2017 IEEE SOI-3D-Subthreshold Microelectronics Unified Conference, S3S 2017, 2018, s. 1-3.
[325]
L. Zurauskaite et al., "Investigation of Tm2O3 as a gate dielectric for Ge MOS devices," i ECS Transactions, 2018, s. 67-73.
[326]
M. Ekström et al., "Low temperature Ni-Al ohmic contacts to p-TYPE 4H-SiC using semi-salicide processing," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 389-392.
[327]
A. Salemi et al., "10+ kV implantation-free 4H-SiC PiN diodes," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 423-426.
[328]
S. Hou et al., "4H-SiC PIN diode as high temperature multifunction sensor," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 630-633.
[329]
S. -. Kuroki et al., "4H-SiC pseudo-CMOS logic inverters for harsh environment electronics," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 669-672.
[330]
H. Elahipanah et al., "Design optimization of a high temperature 1.2 kV 4H-SiC buried grid JBS rectifier," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 455-458.
[331]
B. G. Malm et al., "Gated base structure for improved current gain in SiC bipolar technology," i 2017 47th European Solid-State Device Research Conference (ESSDERC) 11-14 Sept. 2017, 2017, s. 122-125.
[332]
P. Loiko et al., "Inkjet-Printing of Graphene Saturable Absorbers for similar to 2 mu m Bulk and Waveguide Lasers," i 2017 CONFERENCE ON LASERS AND ELECTRO-OPTICS (CLEO), 2017.
[333]
P. Loiko et al., "Inkjet-printing of graphene saturable absorbers for ~2 μm bulk and waveguide lasers," i Optics InfoBase Conference Papers, 2017.
[334]
S. Hou et al., "Scaling of 4H-SiC p-i-n photodiodes for high temperature applications," i 2017 75th Annual Device Research Conference (DRC), 2017.
[335]
L. Zurauskaite, P.-E. Hellström och M. Östling, "The impact of atomic layer depositions on high quality Ge/GeO2 interfaces fabricated by rapid thermal annealing in O2 ambient," i 2017 IEEE Electron Devices Technology and Manufacturing Conference, EDTM 2017 - Proceedings, 2017, s. 164-166.
[336]
C. Fuglesang, C.-M. Zetterling och M. Östling, "Working on venus and beyond - SiC electronics for extreme environments," i Proceedings of the International Astronautical Congress, IAC, 2017, s. 10393-10398.
[337]
E. Dentoni Litta, P.-E. Hellström och M. Östling, "(Invited) TmSiO As a CMOS-Compatible High-k Dielectric," i SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6, 2016, s. 79-89.
[338]
H. Nagatsuma et al., "4H-SiC nMOSFETs with As-Doped S/D and NbNi silicide ohmic contacts," i 16th International Conference on Silicon Carbide and Related Materials, ICSCRM 2015, 2016, s. 573-576.
[339]
S. I. Kuroki et al., "Characterization of 4H-SiC nMOSFETs in harsh environments, high-temperature and high gamma-ray radiation," i 16th International Conference on Silicon Carbide and Related Materials, ICSCRM 2015, 2016, s. 864-867.
[340]
M. Östling et al., "Emerging graphene device technologies," i Emerging Nanomaterials and Devices, 2016, s. 17-35.
[343]
A. Salemi et al., "Geometrical effect dependency on the on-state characteristics in 5.6 kV 4H-SiC BJTs," i 16th International Conference on Silicon Carbide and Related Materials, ICSCRM 2015, 2016, s. 958-961.
[344]
H. Elahipanah et al., "Modification of etched junction termination extension for the high voltage 4H-SiC power devices," i Silicon Carbide and Related Materials, 2016, s. 978-981.
[345]
M. Östling et al., "State of the art Power Switching Devices in SiC and their Applications," i 2016 IEEE SILICON NANOELECTRONICS WORKSHOP (SNW), 2016, s. 122-123.
[346]
A. D. Smith et al., "Toward Effective Passivation of Graphene to Humidity Sensing Effects," i 2016 46TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC), 2016, s. 299-302.
[347]
A. Salemi et al., "Area- and Efficiency-Optimized Junction Termination for a 5.6 kV SiC BJT Process with Low ON-Resistance," i 2015 IEEE 27TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & IC'S (ISPSD), 2015, s. 249-252.
[348]
K. Garidis et al., "Characterization of bonding surface and electrical insulation properties of inter layer dielectrics for 3D monolithic integration," i EUROSOI-ULIS 2015 - 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, 2015, s. 165-168.
[349]
A. Salemi et al., "Conductivity modulated on-axis 4H-SiC 10+ kV PiN diodes," i Proceedings of the International Symposium on Power Semiconductor Devices and ICs, 2015, s. 269-272.
[350]
G. S. Lobov et al., "Electro-optical response of P3HT nanofibers in liquid solution," i Asia Communications and Photonics Conference, ACPC 2015, 2015.
[351]
Y. Illarionov et al., "Hot-carrier degradation in single-layer double-gated graphene field-effect transistors," i IEEE International Reliability Physics Symposium Proceedings, 2015, s. XT21-XT26.
[352]
Y. Illarionov et al., "Impact of hot carrier stress on the defect density and mobility in double-gated graphene field-effect transistors," i EUROSOI-ULIS 2015 - 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, 2015, s. 81-84.
[353]
Y. Illarionov et al., "Interplay between hot carrier and bias stress components in single-layer double-gated graphene field-effect transistors," i European Solid-State Device Research Conference, 2015, s. 172-175.
[354]
S. Vaziri et al., "Step tunneling-enhanced hot-electron injection in vertical graphene base transistors," i European Solid-State Device Research Conference, 2015, s. 198-201.
[355]
Y. Illarionov et al., "Bias-temperature instability in single-layer graphene field-effect transistors : A reliability challenge," i 2014 Silicon Nanoelectronics Workshop, SNW 2014, 2014.
[356]
A. D. Smith et al., "Biaxial strain in suspended graphene membranes for piezoresistive sensing," i 2014 IEEE 27th International Conference on Micro Electro Mechanical Systems (MEMS), 2014, s. 1055-1058.
[358]
E. Dentoni Litta, P.-E. Hellström och M. Östling, "Effective Workfunction Control in TmSiO/HfO2 high-k/metal gate stacks," i ULIS 2014 : 2014 15th International Conference on Ultimate Integration on Silicon, 2014, s. 69-72.
[359]
M. M. Naiini et al., "Embedded Graphene Photodetectors for Silicon Photonics," i Device Research Conference (DRC), 2014 72nd Annual, 2014, s. 43-44.
[360]
A. Asadollahi et al., "Fabrication of relaxed germanium on insulator via room temperature wafer bonding," i ECS Transactions : Volume 64, Cancun, Mexico, October 5 – 9, 2014 2014 ECS and SMEQ Joint International Meeting, 2014, s. 533-541.
[361]
A. Asadollahi et al., "Fabrication of strained Ge on insulator via room temperature wafer bonding," i 2014 15th International Conference on Ultimate Integration on Silicon, ULIS 2014, 2014, s. 81-84.
[362]
A. D. Smith et al., "Graphene-based piezoresistive pressure sensing for uniaxial and biaxial strains," i 2014 Silicon Nanoelectronics Workshop, SNW 2014, 2014.
[363]
M. Olyaei et al., "Improved Low-frequency Noise for 0.3nm EOT Thulium Silicate Interfacial Layer," i Solid State Device Research Conference (ESSDERC), 2014 44th European, 2014, s. 361-364.
[364]
M. M. Naiini et al., "Integrating 3D PIN germanium detectors with high-k ALD fabricated slot waveguides," i ULIS 2014 - 2014 15th International Conference on Ultimate Integration on Silicon, 2014, s. 45-48.
[365]
I. Z. Mitrovic et al., "Interface engineering routes for a future cmos ge-based technology," i ECS Transactions, 2014, s. 73-88.
[366]
P.-E. Hellström, E. Dentoni Litta och M. Östling, "Interfacial layer engineering using thulium silicate/germanate for high-k/metal gate MOSFETs," i ECS Transactions : Cancun, Mexico, October 5 – 9, 2014 2014 ECS and SMEQ Joint International Meeting, 2014, s. 249-260.
[367]
G. Lobov et al., "Optical birefringence from P3HT nanofibers in alternating electric field," i Optics InfoBase Conference Papers, 2014.
[368]
S. Vaziri et al., "PDMS-supported Graphene Transfer Using Intermediary Polymer Layers," i PROCEEDINGS OF THE 2014 44TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC 2014), 2014, s. 309-312.
[369]
M. Östling, E. Dentoni Litta och P.-E. Hellström, "Recent advances in high-k dielectrics and inter layer engineering," i Proceedings - 2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2014, 2014.
[370]
M. Olyaei et al., "A study of low-frequency noise on high-k/metal gate stacks with in situ SiOx interfacial layer," i 2013 22nd International Conference on Noise and Fluctuations, ICNF 2013, 2013, s. 1-4.
[371]
E. Dentoni Litta et al., "Characterization of thulium silicate interfacial layer for high-k/metal gate MOSFETs," i 2013 14th International Conference On Ultimate Integration On Silicon (ULIS), 2013, s. 122-125.
[372]
S. Vaziri et al., "Graphene base hot electron transistors with high on/off current ratios," i Dev. Res. Conf. Conf. Dig., 2013, s. 39-40.
[373]
M. Naiini et al., "Low loss high-k slot waveguides for silicon photonics," i Dev. Res. Conf. Conf. Dig., 2013, s. 95-96.
[374]
E. Dentoni Litta, P.-E. Hellström och M. Östling, "Mobility enhancement by integration of TmSiO IL in 0.65nm EOT high-k/metal gate MOSFETs," i 2013 Proceedings of the European Solid-State Device Research Conference (ESSDERC), 2013, s. 155-158.
[375]
M. Östling, "SiC device technology for energy efficiency and high temperature operation," i 2013 IEEE International Conference of Electron Devices and Solid-State Circuits : EDSSC 2013, 2013.
[376]
G. Jayakumar et al., "Silicon Nanowires Integrated in a Fully Depleted CMOS Process for Charge Based Biosensing," i ULIS 2013 : The 14th International Conference on Ultimate Integration on Silicon, Incorporating the 'Technology Briefing Day', 2013, s. 81-84.
[377]
M. C. Lemme et al., "Alternative graphene devices : Beyond field effect transistors," i Device Research Conference (DRC), 2012 70th Annual, 2012, s. 24a-24b.
[378]
S. Vaziri et al., "An integration approach for graphene double-gate transistors," i Solid-State Device Research Conference (ESSDERC), 2012 Proceedings of the European, 2012, s. 250-253.
[379]
M. Östling et al., "Atomic layer deposition-based interface engineering for high-k/metal gate stacks," i ICSICT 2012 - 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Proceedings, 2012, s. 6467643.
[380]
M. M. Naiini et al., "CMOS compatible ALD high-k double slot grating couplers for on-chip optical interconnects," i Solid-State Device Research Conference (ESSDERC), 2012 Proceedings of the European, 2012, s. 93-96.
[381]
M. M. Naiini et al., "Double slot high-k waveguide grating couplers for silicon photonics," i Device Research Conference (DRC), 2012 70th Annual, 2012, s. 69-70.
[382]
M. C. Lemme et al., "Graphene for More Moore and More Than Moore applications," i IEEE Silicon Nanoelectronics Workshop, SNW, 2012, s. 6243322.
[383]
E. Dentoni Litta et al., "In situ SiOx interfacial layer formation for scaled ALD high-k/metal gate stacks," i 2012 13th International Conference on Ultimate Integration on Silicon, ULIS 2012, 2012, s. 105-108.
[384]
H. H. Radamson et al., "Strain engineering in GeSnSi materials," i SiGe, Ge, and related compounds 5 : materials, processing, and devices, 2012, s. 527-531.
[385]
A. D. Smith et al., "Strain engineering in suspended graphene devices for pressure sensor applications," i 2012 13th International Conference on Ultimate Integration on Silicon, ULIS 2012, 2012, s. 21-24.
[386]
E. M. Kolahdouz, M. Östling och H. H. Radamson, "A low cost multi quantum SiGe/Si/Schottky structure for high performance IR detectors," i European Solid-State Device Res. Conf., 2011, s. 327-330.
[387]
M. Naiini et al., "ALD high-k layer grating couplers for single and double slot on-chip SOI photonics," i 41st European Solid-State Device Research Conference, ESSDERC 2011, 2011, s. 191-194.
[388]
L. Lanni et al., "Bipolar Integrated OR-NOR Gate in 4H-SiC," i Proceedings of International Conference on Silicon Carbibe and Related Materials 2011, 2011.
[389]
M. Moeen et al., "Combined Si Schottky barriers and SiGe/Si multi quantum wells for infrared detection," i Int. Semicond. Device Res. Symp., ISDRS, 2011.
[390]
V. Gudmundsson, P.-E. Hellström och M. Östling, "Effect of Be segregation on NiSi/Si Schottky barrier heights," i Solid-State Device Research Conference (ESSDERC), 2011.
[391]
M. Naiini, G. Malm och M. Östling, "Fully etched grating couplers for atomic layer deposited horizontal slot waveguides," i 2011 12th International Conference on Ultimate Integration on Silicon, ULIS 2011, 2011, s. 126-129.
[392]
C.-M. Zetterling et al., "Future high temperature applications for SiC integrated circuits," i 16th Semiconducting and Insulating Materials Conference (SIMC-XVI), Stockholm, Sweden, June 19-23, 2011, 2011.
[393]
C. Henkel et al., "Impact of oxidation and reduction annealing on the electrical properties of Ge/La2O3/ZrO2 gate stacks," i European Solid-State Device Res. Conf., 2011, s. 75-78.
[394]
M. Olyaei et al., "Low-frequency Noise in High-k LaLuO3/TiN MOSFETs," i 2011 International Semiconductor Device Research Symposium (ISDRS), 2011, s. TA01-TA04.
[395]
G. Malm, M. Olyaei och M. Östling, "Low-frequency noise in FinFETs with PtSi Schottky-barrier source/drain contacts," i Proceedings of the IEEE 21st International Conference on Noise and Fluctuations, ICNF 2011, 2011, s. 135-138.
[396]
L. Donetti et al., "On the effective mass of holes in inversion layers," i International Conference on Ultimate Integration on Silicon, 2011, s. 50-53.
[397]
M. Östling et al., "SiC Bipolar Devices for High Power and Integrated Drivers," i Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), 2011 IEEE, 2011, s. 227-234.
[398]
M. Östling, R. Ghandi och C.-M. Zetterling, "SiC power devices - present status, applications and future perspective," i 2011 IEEE 23RD INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD), 2011, s. 10-15.
[399]
M. Östling et al., "Silicon carbide bipolar power devices," i ECS Transactions, 2011, s. 189-200.
[400]
M. Östling et al., "Technology challenges in silicon devices beyond the 16 nm node," i Proceedings of the 18th International Conference : Mixed Design of Integrated Circuits and Systems, MIXDES 2011, 2011, s. 27-31.
[401]
M. Domeij et al., "2.2 kV SiC BJTs with low V(CESAT) fast switching and short-circuit capability," i SILICON CARBIDE AND RELATED MATERIALS 2009, PTS 1 AND 2, 2010, s. 1033-1036.
[402]
L. Farese et al., "Experimental Study of Degradation in 4H-SiC BJTs by Means of Electrical Characterization and Electroluminescence," i SILICON CARBIDE AND RELATED MATERIALS 2009, 2010, s. 1037-1040.
[403]
M. Kolahdouz et al., "Improving the performance of SiGe-based IR detectors," i Sige, Ge, And Related Compounds 4 : Materials, Processing, And Devices, 2010, s. 221-225.
[404]
R. Ghandi et al., "Influence of crystal orientation on the current gain in 4H-SiC BJTs," i Device Research Conference - Conference Digest, DRC, 2010, s. 131-132.
[405]
M. Östling et al., "Integration of metallic source/drain (MSD) contacts in nanoscaled CMOS technology," i ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings, 2010, s. 41-45.
[406]
V. Gudmundsson et al., "Multi-subband Monte Carlo simulation of fully-depleted silicon-on-insulator Schottky barrier MOSFETs," i 11th International Conference on Ultimate Integration of Silicon (ULIS), 2010, 2010.
[407]
M. Östling, "Nanoscaled SiGe based MOSETs," i Conference Proceedings - The 8th International Conference on Advanced Semiconductor Devices and Microsystems, ASDAM 2010, 2010, s. 1-8.
[408]
M. Östling et al., "Nanoscaling of MOSFETs and the implementation of Schottky barrier S/D contacts," i 2010 27th International Conference on Microelectronics, MIEL 2010 - Proceedings, 2010, s. 9-13.
[409]
A. Konstantinov et al., "Operation of Silicon Carbide BJTs Free from Bipolar Degradation," i SILICON CARBIDE AND RELATED MATERIALS 2009, PTS 1 AND 2, 2010, s. 1057-1060.
[410]
M. Östling et al., "SiC bipolar power transistors : Design and technology issues for ultimate performance," i 2010 MRS Spring Meeting, 2010, s. 175-186.
[411]
M. Östling, "Silicon Carbide Based Power Devices," i 2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST, 2010, s. 13.3.1-13.3.4.
[412]
M. Nawaz et al., "Assessment of High and Low Temperature Performance of SiC BJTs," i SILICON CARBIDE AND RELATED MATERIALS 2008, 2009, s. 825-828.
[413]
V. Gudmundsson et al., "Characterization of dopant segregated Schottky barrier source/drain contacts," i ULIS 2009 : 10TH INTERNATIONAL CONFERENCE ON ULTIMATE INTEGRATION OF SILICON, 2009, s. 73-76.
[414]
B. G. Malm et al., "Comprehensive temperature modeling of strained epitaxial silicon-germanium alloy thermistors," i 2009 International Semiconductor Device Research Symposium, ISDRS '09, 2009, s. 5378337.
[415]
M. Nawaz et al., "Radiation hardness assessment of high voltage 4H-SiC BJTs," i Device Research Conference, 2009. DRC 2009, 2009, s. 279-280.
[416]
L. Di Benedetto et al., "Strain balance approach for optimized signal-to-noise ratio in SiGe quantum well bolometers," i ESSDERC 2009 - Proceedings of the 39th European Solid-State Device Research Conference, 2009, s. 101-104.
[417]
V. Gudmundsson et al., "Direct measurement of sidewall roughness on Si, poly-Si and poly-SiGe by AFM," i PROCEEDINGS OF THE 17TH INTERNATIONAL VACUUM CONGRESS/13TH INTERNATIONAL CONFERENCE ON SURFACE SCIENCE/INTERNATIONAL CONFERENCE ON NANOSCIENCE AND TECHNOLOGY, 2008.
[418]
S. Persson et al., "Fabrication and characterisation of strained Si heterojunction bipolar transistors on virtual substrates," i IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2008, TECHNICAL DIGEST, 2008, s. 735-738.
[419]
B. G. Malm et al., "Noise Properties of High-Mobility, 80 nm Gate Length MOSFETs on Supercritical Virtual Substrates," i SIGE, GE, AND RELATED COMPOUNDS 3: MATERIALS, PROCESSING, AND DEVICES : MATERIALS, PROCESSING, AND DEVICES, 2008, s. 529-537.
[420]
Z. Qiu et al., "Role of Si implantation in control of underlap length in Schottky-barrier source/drain MOSFETs on ultrathin body SOI," i ULIS 2008 : PROCEEDINGS OF THE 9TH INTERNATIONAL CONFERENCE ON ULTIMATE INTEGRATION ON SILICON, 2008, s. 175-178.
[421]
M. Kolahdouz et al., "Selective Epitaxial Growth with Full Control of Pattern Dependency Behavior for pMOSFET Structures," i SIGE, GE, AND RELATED COMPOUNDS 3 : MATERIALS, PROCESSING, AND DEVICES, 2008, s. 153-158.
[422]
Z. Qiu et al., "Silicide as diffusion source for dopant segregation in 70-nm MOSFETs with PtSi Schottky-barrier source/drain on ultrathin-body SOI," i ULIS 2008 : PROCEEDINGS OF THE 9TH INTERNATIONAL CONFERENCE ON ULTIMATE INTEGRATION ON SILICON, 2008, s. 23-26.
[423]
M. Östling et al., "Towards Schottky-Barrier Source/Drain MOSFETs," i 2008 9TH INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED-CIRCUIT TECHNOLOGY, VOLS 1-4, 2008, s. 146-149.
[424]
M. Domeij et al., "Analysis of the base current and saturation voltage in 4H-SiC power BJTs," i 2007 European Conference On Power Electronics And Applications : Vols 1-10, 2007, s. 2744-2750.
[425]
M. Östling et al., "Critical technology issues for deca-nanometer MOSFETs," i ICSICT-2006 : 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings, 2007, s. 27-30.
[426]
B. G. Malm, M. von Haartman och M. Östling, "Influence of dislocations on low frequency noise in nMOSFETs fabricated on tensile strained virtual substrates," i Noise and Fluctuations, 2007, s. 133-136.
[427]
H.-S. Lee et al., "Influence of the base contact on the electrical characteristics of SiC BJTs," i 19th International Symposium on Power Semiconductor Devices and ICs, ISPSD'07 : Jeju Island; 27 May 2007 through 31 May 2007, 2007, s. 153-156.
[428]
J. Hållstedt et al., "Leakage current reduction in 80 nm biaxially strained Si nMOSFETs on in-situ doped SiGe virtual substrates," i ESSDERC 2007 - Proceedings of the 37th European Solid-State Device Research Conference 2008, 2007, s. 319-322.
[429]
R. Ghandi et al., "Simultaneous study of nickel based ohmic contacts to Si-face and C-face of n-type silicon carbide," i 2007 INTERNATIONAL SEMICONDUCTOR DEVICE RESEARCH SYMPOSIUM, VOLS 1 AND 2, 2007, s. 311-311.
[430]
A. O'Neill et al., "Strained silicon technology," i ICSICT-2006 : 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings, 2007, s. 104-107.
[431]
Y.-B. Wang et al., "A novel dual mode capacitor biosensor for real-time, label-free DNA detection," i 2006 INTERNATIONAL ELECTRON DEVICES MEETING, VOLS 1 AND 2, 2006, s. 447-450.
[432]
M. Östling et al., "Device integration issues towards 10 nm MOSFETs," i 2006 25TH INTERNATIONAL CONFERENCE ON MICROELECTRONICS, VOLS 1 AND 2, PROCEEDINGS, 2006, s. 25-30.
[433]
A. Berrier et al., "Electrical conduction through a 2D InP-based photonic crystal - art. no. 63220J," i Tuning the Optic Response of Photonic Bandgap Structures III, 2006, s. J3220-J3220.
[434]
M. Domeij et al., "High current gain silicon carbide bipolar power transistors," i Proceedings of the 18th International Symposium on Power Semiconductor Devices and ICs, 2006, s. 141-144.
[435]
C.-M. Zetterling et al., "Silicon Carbide Power Device Technology," i COMMAD '06, Conference on Optoelectronic and Microelectronic Materials and Devices 2006. UWA, Perth, Australia. 6-8 December 2006, 2006.
[436]
M. Östling et al., "Silicon carbide devices and processes - Present status and future perspective," i Proceedings of the International Conference Mixed Design of Integrated Circuits and Systems, 2006, s. 34-42.
[437]
T. Johansson et al., "Influence of SOI-generated stress on BiCMOS performance," i Semiconductor Device Research Symposium, 2005 International, 2005, s. 444-445.
[438]
[439]
G. Malm och M. Östling, "Network analyzer measurements and physically based analysis of amplitude and phase distortion in SiGeC HBTs," i 2005 International Semiconductor Device Research Symposium, 2005, s. 74-75.
[440]
M. von Haartman et al., "Noise in Si and SiGe MOSFETs with high-k gate dielectrics," i Noise and Fluctuations, 2005, s. 225-230.
[441]
M. Östling et al., "Novel integration concepts for sige-based rf-MOSFETs," i Proc. Electrochem. Soc., 2005, s. 270-284.
[442]
M. Domeij et al., "SiC power bipolar junction transistors : Modeling and improvement of the current gain," i 2005 European Conference on Power Electronics and Applications, 2005, s. 1665888.
[443]
P.-E. Hellström et al., "Strained-Si NMOSFETs on thin 200 nm virtual substrates," i 2005 International Semiconductor Device Research Symposium; Bethesda, MD; United States; 7 December 2005 through 9 December 2005, 2005, s. 185-186.
[444]
C.-M. Zetterling, W. Liu och M. Östling, "Thermal modeling of multi-finger SiC power MESFETs," i 2005 International Semiconductor Device Research Symposium, 2005, s. 290-291.
[445]
D. Wu et al., "Characterization of high-kappa nanolaminates of HfO2 and Al2O3 used as gate dielectrics in pMOSFETs," i Integration Of Advanced Micro-And Nanoelectronic Devices-Critical Issues And Solutions, 2004, s. 19-24.
[446]
E. Haralson et al., "HRXRD analysis of SiGeC layers for BiCMOS applications," i SiGe: Materials, Processing, and Devices - Proceedings of the First Symposium, 3 October 2004 through 8 October 2004, Honolulu, HI, United States, 2004, s. 135-142.
[447]
M. Östling, E. Haralson och G. Malm, "High performance SiGeC HBT technology for radio frequency applications," i 2004 Asia-Pacific Radio Science Conference - Proceedings, 2004, s. 480-483.
[448]
E. Haralson et al., "Influence of self heating in a BiCMOS on SOI technology," i ESSCIRC 2004 : Proceedings of the 34th European Solid-State Device Research Conference, 2004, s. 337-340.
[449]
C. Isheden et al., "Process integration of a new method for formation of shallow junctions in MOSFET structures using recessed and selectively regrown Si1-xGex," i SiGe: Materials, Processing, and Devices - Proceedings of the First Symposium; Honolulu, HI; United States; 3 October 2004 through 8 October 2004, 2004, s. 335-340.
[450]
C. Isheden et al., "Recessed and epitaxially regrown SiGe(B) source/drain junctions with Ni salicide contacts," i Silicon Front-End Junction Formation-Physics And Technology, 2004, s. 49-54.
[451]
W. Liu, C.-M. Zetterling och M. Östling, "Thermal-issues for design of high power SiC MESFETs," i PROCEEDINGS OF THE SIXTH IEEE CPMT CONFERENCE ON HIGH DENSITY MICROSYSTEM DESIGN AND PACKAGING AND COMPONENT FAILURE ANALYSIS (HDP'04), 2004, s. 331-335.
[452]
C.-M. Zetterling et al., "Challenges for High Temperature Silicon Carbide Electronics," i Materials Research Society Symposium - Proceedings, 2003, s. 15-25.
[453]
S.-M. Koo et al., "Characteristics of PZT/Al2O3 stack on SiC demonstrated in a NVFET," i 34th IEEE Semicondctor Interface Specialists Conference, 2003, 2003.
[454]
M. von Haartman et al., "Influence of gate width on 50 nm gate length Si0.7Ge0.3 channel PMOSFETs," i ESSDERC 2003: PROCEEDINGS OF THE 33RD EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE, 2003, s. 529-532.
[455]
M. Domeij et al., "Measurements and simulations of self-heating and switching with 4H-SIC power BJTs," i IEEE International Symposium on Power Semiconductor Devices and ICs (ISPSD), 2003, s. 375-378.
[456]
S.-M. Koo et al., "Multifunction Integration of Junction-MOSFETs and Nonvolatile FETs on a Single 4H-SiC Substrate for 300°C Operation," i Proc. IEEE International Electron Devices Meeting (IEDM) 2003, 2003, s. 575-578.
[458]
S. -. Koo et al., "Towards ferroelectric field effect transistors in 4H-silicon carbide," i Materials Research Society Symposium - Proceedings, 2002, s. 371-379.
[459]
F. Jonsson et al., "A Voltage Controlled Oscillator with Automatic Amplitude Control in SiGe Technology," i 19th Norchip : Kista 12-13 November 2001, 2001, s. 28-33.
[460]
S.-M. Koo et al., "Metal-oxide-semiconductor structures in inductively coupled plasma etch damaged 6H- and 4H-SiC," i 32nd IEEE Semiconductor Interface Specialists Conference, 2001, 2001.
[461]
S. -. Lee, C.-M. Zetterling och M. Östling, "Titanium tungsten (TiW) for Ohmic contacts to n-and p-type 4H-SiC," i Materials Research Society Symposium - Proceedings, 2001, s. H7.2.1-H7.2.6.
[462]
A. Romano-Rodriguez et al., "Epitaxial growth of beta-SiC on ion-beam synthesized beta-SiC : Structural characterization," i Silicon Carbide and Related Materials - 1999 Pts, 1 & 2, 2000, s. 309-312.
[463]
S. -. Lee et al., "The formation and characterization of epitaxial titanium carbide contacts to 4H-SiC," i Materials Research Society Symposium - Proceedings, 2000, s. T691-T696.
[464]
J. Huang et al., "Growth of SiC thin films on (100) and (111) silicon by pulsed laser deposition combined with a vacuum annealing process," i Materials Research Society Symposium - Proceedings, 1999, s. 207-212.
[465]
J. J. Wang et al., "Low damage, highly anisotropic dry etching of SiC," i High Temperature Electronics Conference, 1998. HITEC. 1998 Fourth International, 1998, s. 10-14.
[466]
C.-M. Zetterling et al., "High voltage silicon carbide Junction Barrier Schottky rectifiers," i Proceedings of the IEEE Cornell Conference on Advanced Concepts in High Speed Semiconductor Devices and Circuits, 1997, s. 256-263.
[467]
J. J. Wang et al., "ICP etching of SiC," i Materials Research Society Symposium - Proceedings, 1997, s. 177-183.
[468]
C.-M. Zetterling et al., "Formation and high frequency CV-measurements of aluminum/aluminum nitride/6H silicon carbide structures," i Materials Research Society Symposium - Proceedings, 1996, s. 667-672.
[469]
C.-M. Zetterling och M. Östling, "Comparison of thermal gate oxides on silicon and carbon face p-type 6H silicon carbide," i Materials Research Society Symposium - Proceedings, 1994, s. 209-214.
[470]
C.-M. Zetterling och M. Östling, "Electrical Properties of Thin Oxides for MOSFETs in the Poly-Si / SiO2 / 6H Silicon Carbide System," i Solid State Device Research Conference, 1993. ESSDERC ’93. 23rd European, 1993, s. 497-500.

Kapitel i böcker

[471]
J. Li och M. Östling, "Photodetectors Based on Emerging Materials," i Springer Handbook of Semiconductor Devices, Massimo Rudan, Rossella Brunetti, Susanna Reggiani red., : Springer Nature, 2023, s. 777-805.
[472]
G. Meneghesso et al., "Smart Power Devices Nanotechnology," i Nanoelectronics : Materials, Devices, Applications, : Wiley-VCH Verlagsgesellschaft, 2017, s. 163-204.
[473]
M. Östling et al., "SiC Device Technologies," i Encyclopedia of RF and Microwave Engineering : vol 5, Kai Cang red., 1. uppl. : Wiley-Blackwell, 2005, s. 4613.

Icke refereegranskade

Artiklar

[474]
H. Elahipanah et al., "Modification of Etched Junction Termination Extension for the High Voltage 4H-SiC Power Devices," Materials Science Forum, vol. 858, s. 978-981, 2016.
[475]
M. Östling och B. G. Malm, "SELECTED PAPERS FROM THE ESSDERC 2011 CONFERENCE Foreword," Solid-State Electronics, vol. 74, s. 1-1, 2012.
[476]
A. Z. H. Wang et al., "Special issue on integrated circuits technologies for RF circuit applications," IEEE Transactions on Electron Devices, vol. 52, no. 7, s. 1231-1234, 2005.
[477]
M. Domeij et al., "Reverse Recovery and Avalance Injection in High Voltage SiC PIN Diodes," Materials Science Forum, vol. 264-268, s. 1041, 1998.

Konferensbidrag

[478]
U. Zimmermann et al., "Comparison between implanted and epitaxial pin-diodes on 4H-silicon carbide," i SILICON CARBIDE AND RELATED MATERIALS 2003, PRTS 1 AND 2, 2004, s. 1037-1040.
[479]
M. Domeij et al., "Avalanche Injection in High Voltage Si P-i-N Diodes Measurements and Device Simulations," i ISPSD '97 : Proceedings of the International Symposium on Power Semiconductor Devices and ICs, 1997, s. 125.

Kapitel i böcker

[480]
B. G. Malm, J. V. Grahn och M. Östling, "Bipolar technology," i The VLSI Handbook: Second Edition, : CRC Press, 2016, s. 1.3-1.25.
[481]
M. Östling, E. Dentoni Litta och P.-E. Hellström, "Three-Dimensional Integration of Ge and Two-Dimensional Materials for One-Dimensional Devices," i Future Trends in Microelectronics: Journey into the Unknown, : wiley, 2016, s. 51-67.
[482]
J. Bolten et al., "Fabrication of Nanowires," i Beyond CMOS Nanodevices 1, : Wiley Blackwell, 2014, s. 5-23.
[483]
P.-E. Hellström, G. Jayakumar och M. Östling, "Integration of Silicon Nanowires with CMOS," i Beyond CMOS Nanodevices 1, : Wiley Blackwell, 2014, s. 65-72.
[484]
R. K. Williams et al., "Power Devices and ICs," i Guide to State-of-the-Art Electron Devices, : Wiley-VCH Verlagsgesellschaft, 2013, s. 203-212.
[485]
M. Östling och G. Malm, "High Speed Electronics," i Ion Beams in Nanoscience and Technology, Ragnar Hellborg, Harry J. Whitlow, Yanweng Zhang red., 1. uppl. : Springer Berlin/Heidelberg, 2010, s. 457.
[486]
H. J. Whitlow och M. Östling, "Rutherford back-scattering spectrometry and recoil spectrometry," i Surface Characterization: A User's Sourcebook, : Wiley-Blackwell, 2007, s. 254-271.
[487]
G. Malm, M. Östling och J. Grahn, "Bipolar Technology," i VLSI Handbook, Wai-Kai Chen red., 2. uppl. : CRC Press, 2006.

Proceedings (redaktörskap)

Senaste synkning med DiVA:
2024-03-28 00:29:26