Hoppa till huvudinnehållet

Publikationer av Zhonghai Lu

Refereegranskade

Artiklar

[1]
[2]
L. Zhu et al., "A NoC-Based Spatial DNN Inference Accelerator With Memory-Friendly Dataflow," IEEE design & test, vol. 40, no. 6, s. 39-50, 2023.
[3]
Q. Liu et al., "Health warning based on 3R ECG Sample's combined features and LSTM," Computers in Biology and Medicine, vol. 162, 2023.
[4]
Y. Wang et al., "Holistic and Opportunistic Scheduling of Background I/Os in Flash-Based SSDs," IEEE Transactions on Computers, vol. 72, no. 11, s. 3127-3139, 2023.
[5]
Z. Lu, "PiN : Processing in Network-on-Chip," IEEE design & test, vol. 40, no. 6, s. 30-38, 2023.
[7]
L. Cui et al., "A Low Bit-Width LDPC Min-Sum Decoding Scheme for NAND Flash," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 6, s. 1971-1975, 2022.
[8]
Y. Zhang et al., "Base-2 Softmax Function : Suitability for Training and Efficient Hardware Implementation," IEEE Transactions on Circuits and Systems Part 1 : Regular Papers, vol. 69, no. 9, s. 3605-3618, 2022.
[9]
B. Wang och Z. Lu, "Flexible and Efficient QoS Provisioning in AXI4-based Network-on-Chip Architecture," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 5, s. 1523-1536, 2022.
[10]
H. Chen et al., "Huicore : A Generalized Hardware Accelerator for Complicated Functions," IEEE Transactions on Circuits and Systems Part 1 : Regular Papers, vol. 69, no. 6, s. 2463-2476, 2022.
[11]
[12]
X. Hu och Z. Lu, "A Configurable Hardware Architecture for Runtime Application of Network Calculus," International journal of parallel programming, vol. 49, no. 5, s. 745-760, 2021.
[13]
W. Liu et al., "DEPS : Exploiting a Dynamic Error Prechecking Scheme to Improve the Read Performance of SSD," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 1, s. 66-77, 2021.
[14]
H. Chen et al., "Low-Complexity High-Precision Method and Architecture for Computing the Logarithm of Complex Numbers," IEEE Transactions on Circuits and Systems Part 1 : Regular Papers, vol. 68, no. 8, s. 3293-3304, 2021.
[15]
J. Wang et al., "Optimal Sprinting Pattern in Thermal Constrained CMPs," IEEE Transactions on Emerging Topics in Computing, 2021.
[16]
H. Chen et al., "Symmetric-Mapping LUT-Based Method and Architecture for Computing X-Y-Like Functions," IEEE Transactions on Circuits and Systems Part 1 : Regular Papers, vol. 68, no. 3, s. 1231-1244, 2021.
[17]
Z. Qin et al., "A Novel Approximation Methodology and Its Efficient VLSI Implementation for the Sigmoid Function," IEEE Transactions on Circuits and Systems - II - Express Briefs, vol. 67, no. 12, s. 3422-3426, 2020.
[19]
B. Wang och Z. Lu, "Advance Virtual Channel Reservation," IEEE Transactions on Computers, vol. 69, no. 9, s. 1320-1334, 2020.
[20]
Q. Chen et al., "An Efficient Accelerator for Multiple Convolutions From the Sparsity Perspective," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 28, no. 6, s. 1540-1544, 2020.
[22]
R. Ma et al., "BlockHammer : Improving Flash Reliability by Exploiting Process Variation Aware Proactive Failure Prediction," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, s. 1-1, 2020.
[23]
B. Wang och Z. Lu, "Efficient Support of AXI4 Transaction Ordering Requirements in Many-Core Architecture," IEEE Access, vol. 8, s. 182663-182678, 2020.
[24]
H. Chen et al., "Hyperbolic CORDIC-Based Architecture for Computing Logarithm and Its Implementation," IEEE Transactions on Circuits and Systems - II - Express Briefs, vol. 67, no. 11, s. 2652-2656, 2020.
[25]
Y. Yao och Z. Lu, "Pursuing Extreme Power Efficiency With PPCC Guided NoC DVFS," IEEE Transactions on Computers, vol. 69, no. 3, s. 410-426, 2020.
[26]
S. Guo et al., "Securing IoT Space via Hardware Trojan Detection," IEEE Internet of Things Journal, vol. 7, no. 11, s. 11115-11122, 2020.
[29]
W. Zhang, Q. Cao och Z. Lu, "Bit-Flipping Schemes Upon MLC Flash : Investigation, Implementation, and Evaluation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 38, no. 4, s. 780-784, 2019.
[30]
R. Ma et al., "RBER-Aware Lifetime Prediction Scheme for 3D-TLC NAND Flash Memory," IEEE Access, vol. 7, s. 44696-44708, 2019.
[31]
Y. Zhou et al., "SCORE : A Novel Scheme to Efficiently Cache Overlong ECCs in NAND Flash Memory," ACM Transactions on Architecture and Code Optimization (TACO), vol. 15, no. 4, 2019.
[32]
G. Du et al., "SSS : Self-aware System-on-chip Using a Static-dynamic Hybrid Method," ACM Journal on Emerging Technologies in Computing Systems, vol. 15, no. 3, 2019.
[33]
S. Guo et al., "Security-Aware Task Mapping Reducing Thermal Side Channel Leakage in CMPs," IEEE Transactions on Industrial Informatics, vol. 15, no. 10, s. 5435-5443, 2019.
[34]
Z. Chen et al., "Toward FPGA Security in IoT : A New Detection Technique for Hardware Trojans," IEEE Internet of Things Journal, vol. 6, no. 4, s. 7061-7068, 2019.
[35]
J. Wang et al., "A New Parallel CODEC Technique for CDMA NoCs," IEEE Transactions on Industrial Electronics, vol. 65, no. 8, s. 6527-6537, 2018.
[36]
X. Chen et al., "A Variable-Size FFT Hardware Accelerator Based on Matrix Transposition," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 26, no. 10, s. 1953-1966, 2018.
[37]
Y. -. Long, H. -. Shen och Z. Lu, "Analysis and Evaluation of Delay Bounds for Multiplexing Models Based on Network Calculus," Tien Tzu Hsueh Pao, vol. 46, no. 8, s. 1815-1821, 2018.
[38]
Z. Wang et al., "Cache Access Fairness in 3D Mesh-Based NUCA," IEEE Access, vol. 6, s. 42984-42996, 2018.
[39]
Q. Xiong et al., "Characterizing 3D Floating Gate NAND Flash : Observations, Analyses, and Implications," ACM Transactions on Storage, vol. 14, no. 2, 2018.
[40]
Y. Long, Z. Lu och H. Shen, "Composable Worst-Case Delay Bound Analysis Using Network Calculus," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 3, s. 705-709, 2018.
[41]
C. Li et al., "RoB-Router : A Reorder Buffer Enabled Low Latency Network-on-Chip Router," IEEE Transactions on Parallel and Distributed Systems, vol. 29, no. 9, s. 2090-2104, 2018.
[42]
Z. Lu och Y. Yao, "Thread Voting DVFS for Manycore NoCs," IEEE Transactions on Computers, vol. 67, no. 10, s. 1506-1524, 2018.
[43]
S. Wang et al., "WARD : Wear aware RAID design within SSDs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 11, s. 2918-2928, 2018.
[44]
Z. Lu och X. Zhao, "xMAS-Based QoS Analysis Methodology," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 2, s. 364-377, 2018.
[45]
X. Zhao och Z. Lu, "A Tool for xMAS-Based Modeling and Analysis of Communication Fabrics in Simulink," ACM Transactions on Modeling and Computer Simulation, vol. 27, no. 3, 2017.
[46]
J. Wang et al., "ACO-Based Thermal-Aware Thread-to-Core Mapping for Dark-Silicon-Constrained CMPs," IEEE Transactions on Electron Devices, vol. 64, no. 3, s. 930-937, 2017.
[47]
Z. Lu och Y. Yao, "Dynamic Traffic Regulation in NoC-Based Systems," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 25, no. 2, s. 556-569, 2017.
[48]
Q. Xiong et al., "Extending Real-Time Analysis for Wormhole NoCs," IEEE Transactions on Computers, vol. 66, no. 9, s. 1532-1546, 2017.
[49]
Z. Lu och Y. Yao, "Marginal Performance : Formalizing and Quantifying Power Over/Under Provisioning in NoC DVFS," IEEE Transactions on Computers, vol. 66, no. 11, s. 1903-1917, 2017.
[50]
M. Badawi, Z. Lu och A. Hemani, "Quality-of-service-aware adaptation scheme for multi-core protocol processing architecture," Microprocessors and microsystems, vol. 54, s. 47-59, 2017.
[51]
X. Chen et al., "Round-trip DRAM access fairness in 3D NoC-based many-core systems," ACM Transactions on Embedded Computing Systems, vol. 16, no. 5s, 2017.
[52]
J. Wang et al., "A High-Level Thermal Model-Based Task Mapping for CMPs in Dark-Silicon Era," IEEE Transactions on Electron Devices, vol. 63, no. 9, s. 3406-3412, 2016.
[53]
J. Wang, Z. Lu och Y. Li, "A New CDMA Encoding/Decoding Method for on-Chip Communication Network," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 24, no. 4, s. 1607-1611, 2016.
[54]
Z. Lu och Y. Yao, "Aggregate flow-based performance fairness in CMPs," ACM Transactions on Architecture and Code Optimization (TACO), vol. 13, no. 4, 2016.
[55]
F. Jafari, A. Jantsch och Z. Lu, "Weighted Round Robin Configuration for Worst-Case Delay Optimization in Network-on-Chip," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 24, no. 12, s. 3387-3400, 2016.
[56]
X. Zhao och Z. Lu, "Heuristics-Aided Tightness Evaluation of Analytical Bounds in Networks-on-Chip," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 6, s. 986-999, 2015.
[57]
F. Jafari, Z. Lu och A. Jantsch, "Least Upper Delay Bound for VBR Flows in Networks-on-Chip with Virtual Channels," ACM Transactions on Design Automation of Electronic Systems, vol. 20, no. 3, 2015.
[58]
S. Liu, J. Axel och Z. Lu, "MultiCS : Circuit switched NoC with multiple sub-networks and sub-channels," Journal of systems architecture, 2015.
[59]
[60]
S. Liu, A. Jantsch och Z. Lu, "A Fair and Maximal Allocator for Single-Cycle On-Chip Homogeneous Resource Allocation," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 23, no. 10, s. 2229-2233, 2014.
[61]
Y. Zhang et al., "A survey of memory architecture for 3D chip multi-processors," Microprocessors and microsystems, vol. 38, no. 5, s. 415-430, 2014.
[62]
[63]
C. Feng et al., "Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 21, no. 6, s. 1053-1066, 2013.
[64]
A. Eslami Kiasari, Z. Lu och A. Jantsch, "An Analytical Latency Model for Networks-on-Chip," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 21, no. 1, s. 113-123, 2013.
[65]
Z. Zhang et al., "Item-Level Indoor Localization With Passive UHF RFID Based on Tag Interaction Analysis," IEEE Transactions on Industrial Electronics, vol. 61, no. 4, s. 2122-2135, 2013.
[66]
A. Eslami Kiasari, A. Jantsch och Z. Lu, "Mathematical formalisms for performance evaluation of networks-on-chip," ACM Computing Surveys, vol. 45, no. 3, s. 38, 2013.
[68]
A. Naeem, A. Jantsch och Z. Lu, "Scalability Analysis of Memory Consistency Models in NoC-based Distributed Shared Memory SoCs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 5, s. 760-773, 2013.
[69]
C. Feng et al., "A 1-Cycle 1.25 GHz Bufferless Router for 3D Network-on-Chip," IEICE transactions on information and systems, vol. E95D, no. 5, s. 1519-1522, 2012.
[70]
Y. Chen et al., "A single-cycle output buffered router with layered switching for Networks-on-Chips," Computers & electrical engineering, vol. 38, no. 4, s. 906-916, 2012.
[71]
M. Liu et al., "A survey of FPGA dynamic reconfiguration design methodology and applications," International Journal of Embedded and Real-Time Communication Systems, vol. 3, no. 2, s. 23-39, 2012.
[72]
[73]
Z. Zhang et al., "Design and Optimization of a CDMA-based Multi-Reader Passive UHF RFID System for Dense Scenarios," IEICE transactions on communications, vol. E95B, no. 1, s. 206-216, 2012.
[74]
W. Hu et al., "Multicast Path Setup Incorporating Evicting," Elektronika ir Elektrotechnika, no. 8, s. 101-104, 2012.
[75]
H. She et al., "Performance Analysis of Flow-Based Traffic Splitting Strategy on Cluster-Mesh Sensor Networks," International Journal of Distributed Sensor Networks, s. 232937, 2012.
[76]
W. Hu et al., "Self-selection pseudo-circuit : a clever crossbar pre- allocation," IEICE Electronics Express, vol. 9, no. 6, s. 558-564, 2012.
[77]
C. Feng et al., "Support Efficient and Fault-Tolerant Multicast in Bufferless Network-on-Chip," IEICE transactions on information and systems, vol. E95D, no. 4, s. 1052-1061, 2012.
[78]
W. Hu et al., "TPSS : A flexible hardware support for unicast and multicast on networks-on-chip," Journal of Computers, vol. 7, no. 7, s. 1743-1752, 2012.
[79]
M. Qiu et al., "Three-phase time-aware energy minimization with DVFS and unrolling for Chip Multiprocessors," Journal of systems architecture, vol. 58, no. 10, s. 439-445, 2012.
[80]
C. -. Feng et al., "A 1-cycle 2 GHz bufferless router for network-on-chip," Guofang Keji Daxue Xuebao/Journal of National University of Defense Technology, vol. 33, no. 6, s. 42-47, 2011.
[81]
M. Liu et al., "A High-End Reconfigurable Computation Platform for Nuclear and Particle Physics Experiments," Computing in science & engineering (Print), vol. 13, no. 2, s. 52-63, 2011.
[82]
X. Chen et al., "Cooperative communication based barrier synchronization in on-chip mesh architectures," IEICE Electronics Express, vol. 8, no. 22, s. 1856-1862, 2011.
[83]
I. Anagnostopoulos et al., "Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations," IEEE Embedded Systems Letters, vol. 3, no. 2, s. 66-69, 2011.
[84]
M. Liu et al., "FPGA-Based Particle Recognition in the HADES Experiment," IEEE Design & Test of Computers, vol. 28, no. 4, s. 48-57, 2011.
[85]
X. Chen et al., "Hybrid distributed shared memory space in multi-core processors," Journal of Software, vol. 6, no. 12 SPEC. ISSUE, s. 2369-2378, 2011.
[86]
N. Ma, Z. Lu och L. Zheng, "System design of full HD MVC decoding on mesh-based multicore NoCs," Microprocessors and microsystems, vol. 35, no. 2, s. 217-229, 2011.
[87]
Y. Qian, Z. Lu och W. Dou, "Analysis of Worst-Case Delay Bounds for On-Chip Packet-Switching Networks," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 5, s. 802-815, 2010.
[88]
F. Jafari et al., "Buffer Optimization in Network-on-Chip Through Flow Regulation," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 12, s. 1973-1986, 2010.
[89]
Y. Qian et al., "Analyzing Credit-Based Router-to-Router Flow Control for On-Chip Networks," IEICE transactions on electronics, vol. E92C, no. 10, s. 1276-1283, 2009.
[90]
Y. Qian, Z. Lu och W. Dou, "Worst-Case Flit and Packet Delay Bounds in Wormhole Networks on Chip," IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, vol. E92A, no. 12, s. 3211-3220, 2009.
[91]
H. She et al., "Analysis of Traffic Splitting Mechanisms for 2D Mesh Sensor Networks," International Journal of Software Engineering and Its Applications, vol. 2, no. 3, 2008.
[92]
Z. Lu et al., "Network-on Chip Micro-Benchmarks," Embedded Systems Design, no. September, 2008.
[93]
Z. Lu och A. Jantsch, "TDM virtual-circuit configuration for network-on-chip," IEEE Transactions on Very Large Scale Integration (vlsi) Systems, vol. 16, no. 8, s. 1021-1034, 2008.
[94]
Z. Lu och A. Jantsch, "Admitting and ejecting flits in wormhole-switched networks on chip," Iet Computers and Digital Techniques, vol. 1, no. 5, s. 546-556, 2007.
[95]
I. Sander, A. Jantsch och Z. Lu, "Development and application of design transformations in ForSyDe," IEE Proceedings - Computers and digital Techniques, vol. 150, no. 5, s. 313-320, 2003.

Konferensbidrag

[96]
Z. Lu et al., "Age Feature Enhanced Neural Network for RUL Estimation of Power Electronic Devices," i 2023 IEEE International Conference on Prognostics and Health Management, ICPHM 2023, 2023, s. 38-47.
[97]
P. Su, Z. Lu och D. Chen, "Combining Self-Organizing Map with Reinforcement Learning for Multivariate Time Series Anomaly Detection," i Proceedings 2023 IEEE International Conference on Systems, Man, and Cybernetics (SMC), 2023.
[98]
L. Liu, Z. Lu och D. Chen, "Combining Self-Organizing Map with Reinforcement Learning for Multivariate Time Series Anomaly Detection," i 2023 IEEE International Conference on Systems, Man, and Cybernetics: Improving the Quality of Life, SMC 2023 - Proceedings, 2023, s. 1964-1969.
[99]
Q. Liu et al., "ECG abnormality detection Based on Multi-domain combination features and LSTM," i 2023 4th International Conference on Computer Engineering and Application, ICCEA 2023, 2023, s. 565-569.
[100]
Y. Wang et al., "FlexZNS : Building High-Performance ZNS SSDs with Size-Flexible and Parity-Protected Zones," i Proceedings - 2023 IEEE 41st International Conference on Computer Design, ICCD 2023, 2023, s. 291-299.
[101]
Z. Lu et al., "RUL Estimation for Power Electronic Devices Based on LESIT Equation," i 2023 PROGNOSTICS AND HEALTH MANAGEMENT CONFERENCE, PHM, 2023, s. 47-54.
[102]
S. Shen et al., "A Hierarchical Parallel Discrete Gaussian Sampler for Lattice-Based Cryptography," i 2022 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 22), 2022, s. 1729-1733.
[103]
Y. Chen et al., "Accelerating Non-Negative Matrix Factorization on Embedded FPGA with Hybrid Logarithmic Dot-Product Approximation," i Proceedings : 2022 IEEE 15th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2022, 2022, s. 239-246.
[104]
Q. Chen et al., "Enabling Energy-Efficient Inference for Self-Attention Mechanisms in Neural Networks," i 2022 Ieee International Conference On Artificial Intelligence Circuits And Systems (Aicas 2022) : Intelligent Technology In The Post-Pandemic Era, 2022, s. 25-28.
[105]
I.-I. Sadou et al., "Inference Time Reduction of Deep Neural Networks on Embedded Devices : A Case Study," i 2022 25Th Euromicro Conference On Digital System Design (DSD), 2022, s. 205-213.
[106]
Y. Hu et al., "LM-SVM-DT Based Working State Recognition for Washing Machine's Audio Signal," i 2022 IEEE International Conference on Artificial Intelligence and Computer Applications, ICAICA 2022, 2022, s. 550-554.
[107]
Y. Chen et al., "Online Image Sensor Fault Detection for Autonomous Vehicles," i Proceedings : 2022 IEEE 15th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip, MCSoC 2022, 2022, s. 120-127.
[108]
Z. Lu et al., "Wearable pressure sensing for lower limb amputees," i BioCAS 2022 : IEEE Biomedical Circuits and Systems Conference: Intelligent Biomedical Systems for a Better Future, Proceedings, 2022, s. 105-109.
[109]
X. Hu och Z. Lu, "A Configurable Hardware Architecture for Runtime Application of Network Calculus," i Lecture Notes in Computer Science book series (LNTCS,volume 12639), 2021, s. 203-216.
[110]
H. Chen et al., "A General Methodology and Architecture for Arbitrary Complex Number Nth Root Computation," i 2021 SCAS 2021/IEEE International Symposium on Circuits and Systems, 2021.
[111]
Q. Gao et al., "Dynamic and Traffic-Aware Medium Access Control Mechanisms for Wireless NoC Architectures," i 2021 Ieee International Symposium On Circuits And Systems (ISCAS), 2021.
[112]
W. Zhu och Z. Lu, "Evaluation of Time Series Clustering on Embedded Sensor Platform," i 2021 24TH EUROMICRO CONFERENCE ON DIGITAL SYSTEM DESIGN (DSD 2021), 2021, s. 187-191.
[113]
W. Liu et al., "Modeling of Threshold Voltage Distribution in 3D NAND Flash Memory," i PROCEEDINGS OF THE 2021 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2021), 2021, s. 1729-1732.
[114]
E. Malekzadeh et al., "The Impact of Faults on DNNs : A Case Study," i 2021 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), 2021.
[115]
H. Chen et al., "A CORDIC-Based Architecture with Adjustable Precision and Flexible Scalability to Implement Sigmoid and Tanh Functions," i IEEE International Symposium on Circuits and Systems, ISCAS 2020, 2020.
[116]
X. Hu och Z. Lu, "End-to-End System QoS Modeling based on Network Calculus : A Multi-Media Case Study," i ACM International Conference Proceeding Series, 2020, s. 80-83.
[117]
B. Wang och Z. Lu, "Supporting QoS in AXI4 based Communication Architecture," i 2020 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI 2020), 2020, s. 548-553.
[118]
B. Wang, Z. Lu och S. Chen, "ANN Based Admission Control for On-Chip Networks," i PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC), 2019.
[119]
B. Wang och Z. Lu, "Advance Virtual Channel Reservation," i 2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE), 2019, s. 1178-1183.
[120]
M. Becker, Z. Lu och D. Chen, "An adaptive resource provisioning scheme for industrial SDN networks," i IEEE International Conference on Industrial Informatics (INDIN), 2019, s. 877-880.
[121]
W. Liu et al., "Characterizing the Reliability and Threshold Voltage Shifting of 3D Charge Trap NAND Flash," i 2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE), 2019, s. 312-315.
[122]
M. Törngren et al., "Competence Networks in the Era of CPS : Lessons Learnt in the ICES Cross-Disciplinary and Multi-domain Center," i Proceedings of the International Workshop on Design, Modeling, and Evaluation of Cyber Physical Systems, CyPhy 2019 : Workshop on Embedded Systems and Cyber-Physical Systems Education, 2019, s. 264-283.
[123]
Y. Fu et al., "Congestion-Aware Dynamic Elevator Assignment for Partially Connected 3D-NoCs," i 2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), 2019.
[124]
S. Chen och Z. Lu, "Hardware acceleration of multilayer perceptron based on inter-layer optimization," i Proceedings - 2019 IEEE International Conference on Computer Design, ICCD 2019, 2019, s. 164-172.
[125]
G. Du et al., "NR-MPA : Non-recovery compression based multi-path packet-connected-circuit architecture of convolution neural networks accelerator," i Proceedings - 2019 IEEE International Conference on Computer Design, ICCD 2019, 2019, s. 173-176.
[126]
Q. Chen et al., "Smilodon : An Efficient Accelerator for Low Bit-Width CNNs with Task Partitioning," i 2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), 2019.
[127]
F. Wu et al., "Characterizing 3D Charge Trap NAND Flash : Observations, Analyses and Applications," i Proceedings - 2018 IEEE 36th International Conference on Computer Design, ICCD 2018, 2018, s. 381-388.
[128]
H. Lv et al., "Exploiting Minipage-level Mapping to Improve Write Efficiency of NAND Flash," i 2018 IEEE INTERNATIONAL CONFERENCE ON NETWORKING, ARCHITECTURE AND STORAGE (NAS), 2018.
[129]
Y. Yao och Z. Lu, "INPG : Accelerating Critical Section Access with In-network Packet Generation for NoC Based Many-Cores," i 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2018, s. 15-26.
[130]
Z. Lu et al., "Message from the Chairs," i 12th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2018; Torino; Italy; 4 October 2018 through 5 October 2018, 2018.
[131]
X. Shi et al., "Program Error Rate-based Wear Leveling for NAND Hash Memory," i PROCEEDINGS OF THE 2018 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE), 2018, s. 1241-1246.
[132]
M. Becker, Z. Lu och D. Chen, "Towards QoS-Aware Service-Oriented Communication in E/E Automotive Architectures," i Proceedings of the 44th Annual Conference of the IEEE Industrial Electronics Society (IECON), 2018, s. 4096-4101.
[133]
D. Chen och Z. Lu, "A methodological framework for model-based self-management of services and components in dependable cyber-physical systems," i 12th International Conference on Dependability and Complex Systems, DepCoS-RELCOMEX 2017, 2017, s. 97-105.
[134]
Y. Zhu et al., "ALARM : A Location-Aware Redistribution Method to Improve 3D FG NAND Flash Reliability," i 2017 IEEE International Conference on Networking, Architecture, and Storage, NAS 2017 - Proceedings, 2017.
[135]
Q. Xiong et al., "Characterizing 3D floating gate NAND flash," i SIGMETRICS 2017 Abstracts - Proceedings of the 2017 ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems, 2017, s. 31-32.
[136]
D. Chen och Z. Lu, "IMBSA 2017: Model-Based Safety and Assessment," i Model-Based Safety and Assessment - 5th International Symposium, Trento, Italy, September 11–13, 2017, 2017, s. 227-240.
[137]
S. Wang et al., "Lifetime adaptive ECC in NAND flash page management," i Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017, 2017, s. 1253-1256.
[138]
Y. Yao och Z. Lu, "Work-in-progress : Prediction based convolution neural network acceleration," i Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion, CASES 2017, 2017.
[139]
G. Du et al., "Work-in-progress : SSS: Self-aware system-on-chip using static-dynamic hybrid method," i Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion, CASES 2017, 2017.
[140]
N. Ma et al., "A 101.4 GOPS/W Reconfigurable and Scalable Control-centric Embedded Processor for Domain-specific Applications," i Proceedings - IEEE International Symposium on Circuits and Systems, 2016, s. 1746-1749.
[141]
Z. Lu, "Automotive Ethernet : Towards TSN and Beyond," i COMPUTER SAFETY, RELIABILITY, AND SECURITY, SAFECOMP 2016, 2016.
[142]
Y. Yao och Z. Lu, "DVFS for NoCs in CMPs : A thread voting approach," i 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA), 2016, s. 309-320.
[143]
M. Badawi, Z. Lu och A. Hemani, "Elastic Management and QoS Provisioning Scheme for Adaptable Multi-core Protocol Processing Architecture," i 19TH EUROMICRO CONFERENCE ON DIGITAL SYSTEM DESIGN (DSD 2016), 2016, s. 575-583.
[144]
Y. Yao och Z. Lu, "Memory-Access Aware DVFS for Network-on-Chip in CMPs," i PROCEEDINGS OF THE 2016 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE), 2016, s. 1433-1436.
[145]
X. Chen et al., "Multi-bit Transient Fault Control for NoC Links Using 2D Fault Coding Method," i 2016 TENTH IEEE/ACM INTERNATIONAL SYMPOSIUM ON NETWORKS-ON-CHIP (NOCS), 2016.
[146]
G. Du et al., "OLITS : An Ohm's Law-like Traffic Splitting Model Based on Congestion Prediction," i PROCEEDINGS OF THE 2016 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE), 2016, s. 1000-1005.
[147]
Y. Yao och Z. Lu, "Opportunistic Competition Overhead Reduction for Expediting Critical Section in NoC Based CMPs," i Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016, 2016, s. 279-290.
[148]
Q. Xiong et al., "Real-time analysis for wormhole NoC : Revisited and revised," i Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI, 2016, s. 75-80.
[149]
M. Badawi, Z. Lu och A. Hemani, "Service-Guaranteed Multi-Port PacketMemory for Parallel Protocol Processing Architecture," i Proceedings - 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2016, 2016, s. 408-412.
[150]
X. Chen et al., "Achieving memory access equalization via round-trip routing latency prediction in 3D many-core NoCs," i Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, 2015, s. 398-403.
[151]
X. Zhao och Z. Lu, "Backlog bound analysis for virtual-channel routers," i 2015 IEEE Computer Society Annual Symposium on VLSI, 2015, s. 422-427.
[152]
Y. Zhang et al., "Exploring stacked main memory architecture for 3D GPGPUs," i Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015, 2015.
[153]
S. Liu, Z. Lu och A. Jantsch, "Highway in TDM NoCs," i Proceedings of the Ninth ACM/IEEE International Symposium on Networks-on-Chip (NoCS'15), 2015.
[154]
N. Ma et al., "Implementing MVC Decoding on Homogeneous NoCs : Circuit Switching or Wormhole Switching," i 23rd Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 2015, s. 387-391.
[155]
C. Feng et al., "Performance analysis of on-chip bufferless router with multi-ejection ports," i Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015, 2015.
[156]
Z. Lu, Y. Yao och Y. Jiang, "Towards stochastic delay bound analysis for network-on-chip," i Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, 2015, s. 64-71.
[157]
A. Saggio et al., "Validating delay bounds in networks on chip : Tightness and pitfalls," i Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, 2015, s. 404-409.
[158]
N. Ma et al., "A Hierarchical Reconfigurable Micro-coded Multi-core Processor for IoT Applications," i 2014 9TH INTERNATIONAL SYMPOSIUM ON RECONFIGURABLE AND COMMUNICATION-CENTRIC SYSTEMS-ON-CHIP (RECOSOC), 2014.
[159]
G. Du et al., "An analytical model for worst-case reorder buffer size of multi-path minimal routing NoCs," i Proceedings - 2014 8th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2014, 2014, s. 49-56.
[160]
Y. Long, Z. Lu och X. Yan, "Analysis and evaluation of per-flow delay bound for multiplexing models," i Proceedings -Design, Automation and Test in Europe, DATE, 2014.
[161]
M. Badawi, A. Hemani och Z. Lu, "Customizable Coarse-grained Energy-efficient Reconfigurable Packet Processing Architecture," i Proceedings Of The 2014 IEEE 25th International Conference on Application-specific Systems, Architectures and Processors (ASAP), 2014, s. 30-35.
[162]
X. Zhao och Z. Lu, "Empowering study of delay bound tightness with simulated annealing," i Proceedings -Design, Automation and Test in Europe, DATE, 2014.
[163]
Y. Yao och Z. Lu, "Fuzzy flow regulation for Network-on-Chip based chip multiprocessors systems," i 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 2014, s. 343-348.
[164]
S. Liu, A. Jantsch och Z. Lu, "Parallel probe based dynamic connection setup in TDM NoCs," i 17th Design, Automation and Test in Europe, DATE 2014, 24 - 28 March 2014, Dresden, 2014.
[165]
Y. Zhang et al., "Performance and network power evaluation of tightly mixed SRAM NUCA for 3D Multi-core Network on Chips," i 2014 IEEE International Symposium on Circuits and Systems (ISCAS), 2014, s. 1961-1964.
[166]
S. Liu, A. Jantsch och Z. Lu, "Analysis and evaluation of circuit switched NoC and packet switched NoC," i Proceedings - 16th Euromicro Conference on Digital System Design, DSD 2013, 2013, s. 21-28.
[167]
X. Zhao och Z. Lu, "Per-flow delay bound analysis based on a formalized microarchitectural model," i 2013 7th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2013, 2013, s. 6558411.
[168]
X. Jiang et al., "An enhanced iot gateway in a broadcast system," i Proceedings - IEEE 9th International Conference on Ubiquitous Intelligence and Computing and IEEE 9th International Conference on Autonomic and Trusted Computing, UIC-ATC 2012, 2012, s. 746-751.
[169]
A. Eslami Kiasari et al., "Analytical approaches for performance evaluation of networks-on-chip," i CASES'12 - Proceedings of the 2012 ACM International Conference on Compilers, Architectures and Synthesis for Embedded Systems, Co-located with ESWEEK, 2012, s. 211-212.
[170]
A. Naeem, A. Jantsch och Z. Lu, "Architecture Support and Comparison of Three Memory Consistency Models in NoC based Syst," i Proceedings of 15th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools (DSD 2012), 2012, s. 304-311.
[171]
Z. Lu och Y. Wang, "Dynamic flow regulation for IP integration on network-on-chip," i Proceedings of the 2012 6th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2012, 2012, s. 115-123.
[172]
X. Jiang et al., "Lessons of IOT effects on backbone networks learnt from traffic characteristics," i Int. Conf. Wirel. Commun., Networking Mob. Comput., WiCOM, 2012.
[173]
P. Schamberger et al., "Modeling and power evaluation of on-chip router components in spintronics," i Proceedings of the 2012 6th IEEE/ACM International Symposium on Networks-on-Chip, NoCS 2012, 2012, s. 51-58.
[174]
S. Liu, A. Jantsch och Z. Lu, "Parallel Probing : Dynamic and constant time setup procedure in circuit switching NoC," i Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, 2012, s. 1289-1294.
[175]
A. Naeem, A. Jantsch och Z. Lu, "Scalability analysis of release and sequential consistency models in NoC based multicore systems," i 2012 International Symposium on System on Chip, SoC 2012, 2012, s. 6376350.
[176]
H. She, Z. Lu och A. Jantsch, "System-level evaluation of sensor networks deployment strategies : Coverage, lifetime and cost," i 2012 8th International Wireless Communications And Mobile Computing Conference (IWCMC), 2012, s. 549-554.
[177]
F. Jafari, A. Jantsch och Z. Lu, "Worst-Case Delay Analysis of Variable Bit-Rate Flows in Network-on-Chip with Aggregate Scheduling," i Proceedings of the Design and Test in Europe Conference (DATE), 2012, s. 538-541.
[178]
G. Du et al., "Worst-case performance analysis of 2-D mesh NoCs using multi-path minimal routing," i CODES+ISSS'12 - Proceedings of the 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis, Co-located with ESWEEK, 2012, s. 123-132.
[179]
C. Feng et al., "A Low-overhead Fault-aware Deflection Routing Algorithm for 3D Network-on-Chip," i Proceedings - 2011 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2011, 2011, s. 19-24.
[180]
Y. Chen et al., "A deadlock-free fault-tolerant routing algorithm based on pseudo-receiving mechanism for networks-on-chip of CMP," i 2011 International Conference on Multimedia Technology, ICMT 2011, 2011, s. 2825-2828.
[181]
W. Hu et al., "A flexible configuration approach for fault-tolerant multicast/unicast," i IEEE Int. Conf. Commun. Softw. Networks, ICCSN, 2011, s. 393-396.
[182]
Z. Lu, "Cross Clock-Domain TDM Virtual Circuits for Networks on Chips," i NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip, 2011, s. 209-216.
[183]
C. Feng et al., "Evaluation of Deflection Routing on Various NoC Topologies," i Proceedings of the IEEE International Conference on ASIC (ASICON), 2011.
[184]
M. Liu et al., "FPGA-based Cherenkov Ring Recognition in Nuclear and Particle Physics Experiments," i Reconfigurable Computing : Architectures, Tools And Applications, 2011, s. 169-180.
[185]
H. Su et al., "Jamming-Resilient Multi-Radio Multi-Channel Multihop Wireless Network for Smart Grid," i In Proceedings of the 7th ACM Annual Cyber Security and Information Intelligence Research Workshop (CSIIR’11), 2011.
[187]
W. Hu et al., "Network-on-Chip Multicasting with Low Latency Path Setup," i Proceedings of the VLSI-SoC Conference, 2011.
[188]
F. Jafari, A. Jantsch och Z. Lu, "Output Process of Variable Bit-Rate Flows in On-Chip Networks Based on Aggregate Scheduling," i Proceedings of the International Conference on Computer Design, 2011, s. 445-446.
[189]
W. Hu et al., "Power-efficient Tree-based Multicast Support for Networks-on-Chip," i Proceedings of the Asian Pacific Design Automation Conference (ASPDAC), 2011, s. 363-368.
[190]
A. Naeem et al., "Realization and Performance Comparison of Sequential and Weak Memory Consistency Models in Network-on-Chip based Multi-core Systems," i Proceedings of 16th ACM/IEEE Asia and South Pacific Design Automation Conference(ASP-DAC) 2011, 2011, s. 154-159.
[191]
A. Naeem et al., "Realization and Scalability of Release and Protected Release Consistency Models in NoC based Systems," i Proceeding of 14th Euromicro Conference on Digital System Design, 2011, 2011, s. 47-54.
[192]
Y. Chen et al., "Slice router : For fine-granularity fault-tolerant Networks-on-Chip," i 2011 International Conference on Multimedia Technology, ICMT 2011, 2011, s. 3230-3233.
[193]
H. She et al., "Stochastic Coverage in Event-Driven Sensor Networks," i 2011 IEEE 22nd International Symposium On Personal Indoor And Mobile Radio Communications (PIMRC), 2011, s. 915-919.
[194]
B. Candaele et al., "The MOSART Mapping Optimization for multi-core Architectures," i VLSI 2010 Annual Symposium, 2011, s. 181-195.
[195]
A. Eslami Kiasari, A. Jantsch och Z. Lu, "A Framework for Designing Congestion-Aware Deterministic Routing," i NoCArc '10 Proceedings of the Third International Workshop on Network on Chip Architectures, 2010, s. 45-50.
[196]
C. Feng et al., "A Reconfigurable Fault-tolerant Deflection Routing Algorithm Based on Reinforcement Learning for Networks-on-Chip," i Proceedings of the International Workshop on Network on Chip Architectures (NoCArc), 2010.
[197]
Y. Chen et al., "A Trace-driven Hardware-level Simulator for Design and Verification of Network-on-Chips," i 2011 INTERNATIONAL CONFERENCE ON COMPUTERS, COMMUNICATIONS, CONTROL AND AUTOMATION (CCCA 2011), VOL II, 2010, s. 32-35.
[198]
Z. Zhang et al., "A low delay multiple reader passive RFID system using orthogonal TH-PPM IR-UWB," i Proceedings - International Conference on Computer Communications and Networks, ICCCN, 2010.
[199]
X. Chen et al., "Area and Performance Optimization of Barrier Synchronization on Multi-core Network-on-Chips," i 3rd IEEE International Conference on Computer and Electrical Engineering (ICCEE), 2010.
[200]
Z. Zhang et al., "COSMO : CO-simulation with MATLAB and OMNeT++ for indoor wireless networks," i 2010 IEEE GLOBAL TELECOMMUNICATIONS CONFERENCE GLOBECOM 2010, 2010.
[201]
M. Liu et al., "FPGA-based adaptive computing for correlated multi-stream processing," i Proceedings -Design, Automation and Test in Europe, DATE, 2010, s. 973-976.
[202]
C. Feng et al., "FoN : Fault-on-Neighbor aware Routing Algorithm for Networks-on-Chip," i Proceedings - IEEE International SOC Conference, SOCC 2010, 2010, s. 441-446.
[203]
X. Chen et al., "Handling Shared Variable Synchronization in Multi-core Network-on-Chips with Distributed Memory," i Proceedings : IEEE International SOC Conference, SOCC 2010, 2010, s. 467-472.
[204]
M. Liu et al., "Inter-process communication using pipes in FPGA-based adaptive computing," i Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, s. 80-85.
[205]
B. Candaele et al., "Mapping Optimisation for Scalable multi-core ARchiTecture : The MOSART approach," i Proceedings - IEEE Annual Symposium on VLSI, ISVLSI 2010, 2010, s. 518-523.
[206]
Z. Lu et al., "Message from the chairs," i 3rd International Workshop on Network on Chip Architectures, NoCArc 2010, in Conjunction with the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-43, 2010.
[208]
F. Jafari et al., "Optimal Regulation of Traffic Flows in Networks-on-Chip," i Proceedings of the Design Automation and Test Europe Conference (DATE), 2010, s. 1621-1624.
[209]
Y. Qian, Z. Lu och Q. Dou, "QoS Scheduling for NoCs : Strict Priority Queueing versus Weighted Round Robin," i 2010 IEEE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, 2010, s. 52-59.
[210]
M. Liu et al., "Reducing FPGA Reconfiguration Time Overhead using Virtual Configurations," i Proceedings of the 5th International Workshop on Reconfigurable Communication Centric Systems-on-Chip, 2010, s. 149-152.
[211]
X. Chen et al., "Run-time Partitioning of Hybrid Distributed Shared Memory on Multi-core Network-on-Chips," i The 3rd IEEE International Symposium on Parallel Architectures, Algorithms and Programming (PAAP 2010), 2010, s. 39-46.
[212]
A. Naeem et al., "Scalability of Weak Consistency in NoC based Multicore Architectures," i IEEE INT SYMP CIRC SYST PROC, 2010, s. 3497-3500.
[213]
X. Chen et al., "Supporting Distributed Shared Memory on Multi-core Network-on-Chips Using a Dual Microcoded Controller," i Proceedings of the conference for Design Automation and Test in Europe, 2010, s. 39-44.
[214]
X. Chen et al., "Supporting Efficient Synchronization in Multi-core NoCs Using Dynamic Buffer Allocation Technique," i Proceedings of the IEEE Annual Symposium on VLSI, 2010, s. 462-463.
[215]
A. Y. Weldezion et al., "3-D Memory Organization and Performance Analysis for Multi-processor Network-On-Chip Architecture," i 2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION, 2009, s. 42-48.
[216]
Z. Lu, D. Brachos och A. Jantsch, "A Flow Regulator for On-Chip Communication," i IEEE INTERNATIONAL SOC CONFERENCE, PROCEEDINGS, 2009, s. 151-154.
[217]
M. Liu et al., "A Reconfigurable Design Framework for FPGA Adaptive Computing," i 2009 INTERNATIONAL CONFERENCE ON RECONFIGURABLE COMPUTING AND FPGAS, 2009, s. 439-444.
[218]
Y. Qian, Z. Lu och W. Dou, "Analysis of Communication Delay Bounds for Network on Chips," i PROCEEDINGS OF THE ASP-DAC 2009 : ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009, 2009, s. 7-12.
[219]
Y. Qian, Z. Lu och W. Dou, "Analysis of Worst-case Delay Bounds for Best-effort Communication in Wormhole Networks on Chip," i 2009 3RD ACM/IEEE INTERNATIONAL SYMPOSIUM ON NETWORKS-ON-CHIP, 2009, s. 44-53.
[220]
H. She et al., "Analytical Evaluation of Retransmission Schemes in Wireless Sensor Networks," i 2009 IEEE VEHICULAR TECHNOLOGY CONFERENCE, 2009, s. 38-42.
[221]
Y. Qian, Z. Lu och W. Dou, "Applying Network Calculus for Performance Analysis of Self-Similar Traffic in On-Chip Networks," i IEEE/ACM/IFIP 2009 International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS’09), 2009, s. 453-460.
[222]
Y. Qian, Z. Lu och W. Dou, "Applying Network Calculus for Worst-case Delay Bound Analysis in On-chip Networks," i Proceedings of the DTIS'09 - 2009 4th IEEE International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2009, s. 113-118.
[223]
Y. Qian, Z. Lu och W. Dou, "Comparative Analysis of Worst-Case Communication Delay Bounds for 2D and 3D NoCs," i Proceedings of Workshop on 3D Integration and Interconnect-Centric Architectures held in conjunction with 15th International Symposium on High-Performance Computer Architecture, 2009.
[224]
L. Tong, Z. Lu och H. Zhang, "Exploration of slot allocation for on-chip TDM virtual circuits," i Proceedings of the 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, 2009, s. 127-132.
[225]
Z. Lu et al., "Flow Regulation for On-Chip Communication," i DATE : 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, 2009, s. 578-581.
[226]
Y. Qian, Z. Lu och W. Dou, "From 2D to 3D NoCs : A Case Study of the worst-case Communication Performance," i IEEE/ACM 2009 International Conference on Computer-Aided Design (ICCAD’09), 2009, s. 555-562.
[227]
M. Grange et al., "Physical mapping and performance study of a multi-clock 3-Dimensional Network-on-Chip mesh," i 2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION, 2009, s. 345-351.
[228]
M. Liu et al., "Run-time Partial Reconfiguration Speed Investigation and Architectural Design Space Exploration," i FPL 09 : 19th International Conference on Field Programmable Logic and Applications, 2009, s. 498-502.
[229]
A. Y. Weldezion et al., "Scalability of Network-on-Chip Communication Architecture for 3-D Meshes," i 2009 3RD ACM/IEEE INTERNATIONAL SYMPOSIUM ON NETWORKS-ON-CHIP, 2009, s. 114-123.
[230]
X. Chen et al., "Speedup Analysis of Data-parallel Applications on Multi-core NoCs," i Proceedings of the IEEE International Conference on ASIC (ASICON), 2009, s. 105-108.
[231]
Y. Zhang et al., "Towards Hierarchical Cluster based Cache Coherence for Large-Scale Network-on-Chip," i DTIS : 2009 4TH IEEE INTERNATIONAL CONFERENCE ON DESIGN & TECHNOLOGY OF INTEGRATED SYSTEMS IN NANOSCALE ERA, PROCEEDINGS, 2009, s. 119-122.
[232]
Z. Lu och A. Jantsch, "Trends of Terascale Computing Chips in the Next Ten Years," i 2009 IEEE 8TH INTERNATIONAL CONFERENCE ON ASIC, VOLS 1 AND 2, PROCEEDINGS, 2009, s. 62-66.
[233]
M. Liu et al., "Trigger algorithm development on FPGA-based Compute Nodes," i 2009 16th IEEE-NPSS Real Time Conference, 2009, s. 478-484.
[234]
M. Liu et al., "ATCA-based Computation Platform for Data Acquisition and Triggering in Particle Physics Experiments," i 2008 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE AND LOGIC APPLICATIONS, VOLS 1 AND 2, 2008, s. 287-292.
[235]
Z. Lu, L. Xia och A. Jantsch, "Cluster-based simulated annealing for mapping cores onto 2D mesh networks on chip," i 2008 IEEE Workshop On Design And Diagnostics Of Electronic Circuits And Systems, Proceedings, 2008, s. 92-97.
[236]
H. She et al., "Deterministic Worst-case Performance Analysis for Wireless Sensor Networks," i Proceedings of the International Wireless Communications and Mobile Computing Conference, 2008, s. 1081-1086.
[237]
Y. Wang et al., "Dynamic TDM Virtual Circuit Implementation for NoCs," i Proceedings of Asia-Pacific Conference on Circuits and Systems (APCCAS’08), 2008, s. 1533-1536.
[238]
A. W. Yin et al., "Monitoring Agent Based Autonomous Reconfigurable Network-on-Chip," i In DAC08 Workshop Digest in Diagnostic Services in Network-on-Chips, 2008.
[239]
M. Liu et al., "System-on-an-FPGA Design for Real-time Particle Track Recognition and Reconstruction in Physics Experiments," i 11TH EUROMICRO CONFERENCE ON DIGITAL SYSTEM DESIGN - ARCHITECTURES, METHODS AND TOOLS : DSD 2008, PROCEEDINGS, 2008, s. 599-605.
[240]
H. She et al., "A Network-based System Architecture for Remote Medical Applications," i Proceedings of the Asia-Pacific Advanced Network Meeting, 2007.
[241]
M. Liu et al., "Hardware/Software co-design of a general-purpose computation platform in particle physics," i ICFPT 2007 : International Conference On Field-Programmable Technology, Proceedings, 2007, s. 177-183.
[242]
Z. Lu, M. Liu och A. Jantsch, "Layered switching for networks on chip," i 2007 44th ACM/IEEE Design Automation Conference, Vols 1 And 2, 2007, s. 122-127.
[243]
Z. Lu och A. Jantsch, "Slot allocation using logical networks for TDM virtual-circuit configuration for network-on-chip," i IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2007, s. 18-25.
[244]
H. She et al., "Traffic splitting with network calculus for mesh sensor networks," i Proceedings of Future Generation Communication and Networking, FGCN 2007, 2007, s. 371-376.
[245]
Z. Lu et al., "Using synchronizers for refining synchronous communication onto Hardware/Software architectures," i RSP 2007 : 18th IEEE/IFIP International Workshop on Rapid System Prototyping, Proceedings, 2007, s. 143-149.
[246]
Z. Lu, B. Yin och A. Jantsch, "Connection-oriented multicasting in wormhole-switched networks on chip," i IEEE Computer Society Annual Symposium on VLSI, Proceedings - EMERGING VLSI TECHNOLOGIES AND ARCHITECTURES, 2006, s. 205-210.
[247]
Z. Lu, M. Zhong och A. Jantsch, "Connection-oriented multicasting in wormhole-switched networks on chip," i Proceedings of the 16th ACM Great Lakes symposium on VLSI, 2006, s. 296-301.
[248]
Z. Lu, I. Sander och A. Jantsch, "Refining synchronous communication onto network-on-chip best-effort services," i Applications of Specification and Design Languages for SoCs, 2006, s. 23-38.
[249]
Z. Lu, I. Sander och A. Jantsch, "Towards performance-oriented pattern-based refinement of synchronous models onto NoC communication," i DSD 2006: 9th EUROMICRO Conference on Digital System Design: Architectures, Methods and Tools, Proceedings, 2006, s. 37-44.
[250]
Z. Lu et al., "A power efficient flit-admission scheme for wormhole-switched networks on chip," i WMSCI 2005 : 9th World Multi-Conference on Systemics, Cybernetics and Informatics, Vol 4, 2005, s. 25-30.
[251]
Z. Lu, A. Jantsch och I. Sander, "Feasibility analysis of messages for on-chip networks using wormhole routing," i PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2, 2005, s. 960-964.
[252]
Z. Lu, I. Sander och A. Jantsch, "Refinement of A Perfectly Synchronous Communication Model onto Nostrum NoC Best-Effort Communication Service," i Proceedings of the Forum on Design Languages, 2005.
[253]
Z. Lu och A. Jantsch, "Traffic configuration for evaluating networks on chips," i Fifth International Workshop on System-on-Chip for Real-Time Applications, Proceedings, 2005, s. 535-540.
[254]
Z. Lu och A. Jantsch, "Flit admission in on-chip wormhole-switched networks with virtual channels," i 2004 INTERNATIONAL SYMPOSIUM ON SYSTEM-ON-CHIP, PROCEEDINGS, 2004, s. 21-24.
[255]
Z. Lu och A. Jantsch, "Flit ejection in on-chip wormhole-switched networks with virtual channels," i 22ND NORCHIP CONFERENCE, PROCEEDINGS, 2004, s. 273-276.
[256]
Z. Lu, I. Sander och A. Jantsch, "A case study of hardware and software synthesis in ForSyDe," i Proceedings of the 15th International Symposium on System Synthesis, 2002.

Kapitel i böcker

[257]
A. Eslami Kiasari, A. Jantsch och Z. Lu, "A Heuristic Framework for Designing and Exploring Deterministic Routing Algorithm for NoCs," i Algorithms in Networks-on-Chip, : Springer, 2013, s. 21-39.
[258]
M. Liu et al., "Adaptively Reconfigurable Controller for the Flash Memory," i Flash Memories, : InTech, 2011.
[259]
A. Jantsch et al., "Memory Architecture and Management in an NoC Platform," i Scalable Multi-core Architectures : Design Methodologies and Tools, Axel Jantsch and Dimitrios Soudris red., 1. uppl. : Springer, 2011, s. 3-28.
[260]
A. Jantsch och Z. Lu, "Resource Allocation for QoS On-Chip Communication," i Networks-on-Chips: Theory and Practice, Fayez Gebali; Haytham Elmiligi; Mohamed Watheq El-Kharashi red., : CRC Press, 2009.

Icke refereegranskade

Artiklar

[261]
Z. Lu, "Guest Editorial : IEEE TC Special Issue On Communications for Many-core Processors and Accelerators," IEEE Transactions on Computers, vol. 70, no. 6, s. 817-818, 2021.
[263]
A. Naeem et al., "Scalability of Relaxed Consistency Models in NoC based Multicore Architectures," SIGARCH Computer Architecture News, vol. 37, no. 5, s. 8-15, 2009.

Konferensbidrag

[264]
A. Naeem, A. Jantsch och Z. Lu, "Scalability and Performance Evaluation of Memory Consistency Models in NoC based Multicore SoCs," i ICES 5th Annual Conference: World-wide Trends and Challenges in Embedded Systems (ICES 2012), 2012.
[265]
Z. Lu et al., "NNSE: Nostrum Network-on-Chip Simulation Environment," i Proceedings of Swedish System-on-Chip Conference, Stockholm, Sweden, April 2005., 2005.
[266]
Z. Lu och A. Jantsch, "Refinement for Communication-Based Design," i Swedish System-on-Chip Conference (SSoCC’03), 2003.

Avhandlingar

[267]
Z. Lu, "Design and Analysis of On-Chip Communication for Network-on-Chip Platforms," Doktorsavhandling Stockholm : KTH, Trita-ICT-ECS AVH, 2007:02, 2007.
[268]
Z. Lu, "Using wormhole switching for networks on chip : feasibility analysis and microarchitecture adaptation," Licentiatavhandling Stockholm : KTH, Trita-IMIT. LECS, 2005:5, 2005.

Rapporter

[270]
P. van der Wolf et al., "Definition of Device Level Interface with QoS : Draft Specification," IP FP6-2004-IST-4 SPRINT, 2007.
[271]
Z. Lu och A. Jantsch, "Network-on-Chip Assembler Language," Stockholm, Sweden : Institute of Microelectronics and Information Technology, Royal Institute of Technology (KTH), 2003.

Proceedings (redaktörskap)

[272]
"Highway in TDM NoC," , ACM Digital Library, 2015.
Senaste synkning med DiVA:
2024-04-17 00:37:30