Hoppa till huvudinnehållet
Till KTH:s startsida Till KTH:s startsida

Publikationer av Carl-Mikael Zetterling

Refereegranskade

Artiklar

[1]
A. Metreveli et al., "In Situ Gamma Irradiation Effects on 4H-SiC Bipolar Junction Transistors," IEEE Transactions on Nuclear Science, vol. 70, no. 12, s. 2597-2604, 2023.
[2]
M. Ekström och C.-M. Zetterling, "Self-aligned contacts to ion implanted S/D regions in 4H-SiC," Materials Science in Semiconductor Processing, vol. 168, 2023.
[3]
S. Hou et al., "A Silicon Carbide 256 Pixel UV Image Sensor Array Operating at 400 degrees C," IEEE Journal of the Electron Devices Society, vol. 8, no. 1, s. 116-121, 2020.
[4]
D. Mukherjee et al., "Deposition of diamond films on single crystalline silicon carbide substrates," Diamond and related materials, vol. 101, 2020.
[5]
S. Kargarrazi et al., "500 degrees C SiC PWM Integrated Circuit," IEEE transactions on power electronics, vol. 34, no. 3, s. 1997-2001, 2019.
[6]
M. Shakir et al., "555-Timer and Comparators Operational at 500 degrees C," IEEE Transactions on Electron Devices, vol. 66, no. 9, s. 3734-3739, 2019.
[7]
S. Hou et al., "A 4H-SiC BJT as a Switch for On-Chip Integrated UV Photodiode," IEEE Electron Device Letters, vol. 40, no. 1, s. 51-54, 2019.
[8]
M. Ekström, B. G. Malm och C.-M. Zetterling, "High-Temperature Recessed Channel SiC CMOS Inverters and Ring Oscillators," IEEE Electron Device Letters, vol. 40, no. 5, s. 670-673, 2019.
[9]
M. Ekström, A. Ferrario och C.-M. Zetterling, "Investigation of a Self-Aligned Cobalt Silicide Process for Ohmic Contacts to Silicon Carbide," Journal of Electronic Materials, vol. 48, no. 4, s. 2509-2516, 2019.
[10]
S. Roy et al., "Silicon Carbide Bipolar Analog Circuits for Extreme Temperature Signal Conditioning," IEEE Transactions on Electron Devices, vol. 66, no. 9, s. 3764-3770, 2019.
[11]
[12]
A. Salemi et al., "15 kV-Class Implantation-Free 4H-SiC BJTs With Record High Current Gain," IEEE Electron Device Letters, vol. 39, no. 1, s. 63-66, 2018.
[13]
S. Kargarrazi et al., "500 °c, High Current Linear Voltage Regulator in 4H-SiC BJT Technology," IEEE Electron Device Letters, vol. 39, no. 4, s. 548-551, 2018.
[14]
M. Shakir et al., "A 600 degrees C TTL-Based 11-Stage Ring Oscillator in Bipolar Silicon Carbide Technology," IEEE Electron Device Letters, vol. 39, no. 10, s. 1540-1543, 2018.
[15]
T. Kurose et al., "Low-parasitic-capacitance self-aligned 4H-SiC nMOSFETs for harsh environment electronics," Materials Science Forum, vol. 924, s. 971-974, 2018.
[16]
S. Hou et al., "Scaling and modeling of high temperature 4H-SiC p-i-n photodiodes," IEEE Journal of the Electron Devices Society, vol. 6, no. 1, s. 139-145, 2018.
[17]
H. Elahipanah et al., "500 degrees C High Current 4H-SiC Lateral BJTs for High-Temperature Integrated Circuits," IEEE Electron Device Letters, vol. 38, no. 10, s. 1429-1432, 2017.
[18]
Y. Tian och C.-M. Zetterling, "A Fully Integrated Silicon-Carbide Sigma–Delta Modulator Operating up to 500 °C," IEEE Transactions on Electron Devices, vol. 64, no. 7, s. 2782-2788, 2017.
[19]
H. Elahipanah et al., "A Wafer-Scale Self-Aligned Ni-Silicide (SALICIDE) Low-Ohmic Contact Technology on n-type 4H-SiC," ECS Journal of Solid State Science and Technology, vol. 6, no. 4, s. 197-200, 2017.
[20]
H. Elahipanah et al., "A wafer-scale Ni-salicide contact technology on n-type 4H-SiC," ECS Journal of Solid State Science and Technology, vol. 6, no. 4, s. P197-P200, 2017.
[21]
C.-M. Zetterling et al., "Bipolar integrated circuits in SiC for extreme environment operation," Semiconductor Science and Technology, vol. 32, no. 3, 2017.
[22]
A. Nathan, P. Pavan och C.-M. Zetterling, "Editorial EIC," IEEE Journal of the Electron Devices Society, vol. 5, no. 3, s. 147-148, 2017.
[23]
R. Hedayati et al., "High Temperature Bipolar Master-Slave Comparator and Frequency Divider in 4H-SiC Technology," Materials Science Forum, vol. 897, s. 681-684, 2017.
[24]
Y. Tian och C.-M. Zetterling, "High frequency characteristic of a monolithic 500 °C OpAmp-RC integrator in SiC bipolar IC technology," Solid-State Electronics, vol. 135, s. 65-70, 2017.
[25]
M. Ekström et al., "Integration and High-Temperature Characterization of Ferroelectric Vanadium-Doped Bismuth Titanate Thin Films on Silicon Carbide," Journal of Electronic Materials, vol. 46, no. 7, s. 4478-4484, 2017.
[26]
Y. Tian, R. Hedayati och C.-M. Zetterling, "SiC BJT Compact DC Model With Continuous- Temperature Scalability From 300 to 773 K," IEEE Transactions on Electron Devices, vol. 64, no. 9, s. 3588-3594, 2017.
[27]
S. Hou et al., "550 degrees C 4H-SiC p-i-n Photodiode Array With Two-Layer Metallization," IEEE Electron Device Letters, vol. 37, no. 12, s. 1594-1596, 2016.
[28]
R. Hedayati et al., "A 500 degrees C 8-b Digital-to-Analog Converter in Silicon Carbide Bipolar Technology," IEEE Transactions on Electron Devices, vol. 63, no. 9, s. 3445-3450, 2016.
[29]
Y. Tian et al., "A 500 °C monolithic SiC BJT latched comparator," Materials Science Forum, vol. 858, s. 921-924, 2016.
[30]
A. Salemi et al., "A Comprehensive Study on the Geometrical Effects in High Power 4H-SiC BJTs," IEEE Transactions on Electron Devices, vol. 64, no. 3, s. 882-887, 2016.
[31]
S. Kargarrazi, L. Lanni och C.-M. Zetterling, "A study on positive-feedback configuration of a bipolar SiC high temperature operational amplifier," Solid-State Electronics, vol. 116, s. 33-37, 2016.
[32]
S. S. Suvanam et al., "High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits," IEEE Transactions on Nuclear Science, 2016.
[33]
H. Elahipanah et al., "Intertwined Design: A Novel Lithographic Method to Realize Area Efficient High Voltage SiC BJTs and Darlington Transistors," IEEE Transactions on Electron Devices, vol. 63, no. 11, s. 4366-4372, 2016.
[34]
R. Hedayati och C.-M. Zetterling, "Material aspects of wide temperature range amplifier design in SiC bipolar technologies," Journal of Materials Research, vol. 31, no. 19, s. 2928-2935, 2016.
[35]
Y. Tian et al., "Silicon Carbide fully differential amplifier characterized up to 500 °C," IEEE Transactions on Electron Devices, vol. 63, no. 6, s. 2242-2247, 2016.
[36]
R. Hedayati et al., "Wide Temperature Range Integrated Bandgap Voltage References in 4H–SiC," IEEE Electron Device Letters, vol. 37, no. 2, s. 146-149, 2016.
[37]
[38]
H. Elahipanah et al., "5.8-kV Implantation-Free 4H-SiC BJT With Multiple-Shallow-Trench Junction Termination Extension," IEEE Electron Device Letters, vol. 36, no. 2, s. 168-170, 2015.
[39]
S. Kargarrazi et al., "500 degrees C Bipolar SiC Linear Voltage Regulator," IEEE Transactions on Electron Devices, vol. 62, no. 6, s. 1953-1957, 2015.
[40]
S. Kargarrazi, L. Lanni och C.-M. Zetterling, "Design and characterization of 500°c schmitt trigger in 4H-SiC," Materials Science Forum, vol. 821-823, s. 897-901, 2015.
[41]
L. Lanni et al., "ECL-based SiC logic circuits for extreme temperatures," Materials Science Forum, vol. 821-823, s. 910-913, 2015.
[42]
L. Lanni et al., "Influence of Passivation Oxide Thickness and Device Layout on the Current Gain of SiC BJTs," IEEE Electron Device Letters, vol. 36, no. 1, s. 11-13, 2015.
[43]
C.-M. Zetterling, "Integrated circuits in silicon carbide for high-temperature applications," MRS bulletin, vol. 40, no. 5, s. 431-438, 2015.
[44]
[45]
A. Salemi et al., "Optimal Emitter Cell Geometry in High Power 4H-SiC BJTs," IEEE Electron Device Letters, vol. 36, no. 10, s. 1069-1072, 2015.
[46]
[47]
K. Smedfors, C.-M. Zetterling och M. Östling, "Sputtered Ohmic Cobalt Silicide Contacts to 4H-SiC," Materials Science Forum, vol. 821-823, s. 440-443, 2015.
[48]
S. S. Suvanam et al., "Tailoring the interface between dielectric and 4H-SiC by ion implantation," Materials Science Forum, vol. 821-823, s. 488-491, 2015.
[49]
R. Hedayati et al., "A Monolithic, 500 degrees C Operational Amplifier in 4H-SiC Bipolar Technology," IEEE Electron Device Letters, vol. 35, no. 7, s. 693-695, 2014.
[50]
J. Xia et al., "Characterization of LaxHfyO Gate Dielectrics in 4H-SiC MOS Capacitor," Materials Science Forum, vol. 778-780, s. 549-552, 2014.
[51]
K. Smedfors et al., "Characterization of Ohmic Ni/Ti/Al and Ni Contacts to 4H-SiC from-40 degrees C to 500 degrees C," Materials Science Forum, vol. 778-780, s. 681-684, 2014.
[52]
S. S. Suvanam et al., "Effects of 3-MeV Protons on 4H-SiC Bipolar Devices and Integrated OR-NOR Gates," IEEE Transactions on Nuclear Science, vol. 61, no. 4, s. 1772-1776, 2014.
[53]
A. Salemi et al., "Fabrication and Design of 10 kV PiN Diodes Using On-axis 4H-SiC," Materials Science Forum, vol. 778-780, s. 836-840, 2014.
[54]
L. Lanni et al., "Lateral p-n-p Transistors and Complementary SiC Bipolar Technology," IEEE Electron Device Letters, vol. 35, no. 4, s. 428-430, 2014.
[55]
L. Lanni et al., "SiC Etching and Sacrificial Oxidation Effects on the Performance of 4H-SiC BJTs," Materials Science Forum, vol. 778-780, s. 1005-1008, 2014.
[56]
L. Lanni et al., "500 degrees C Bipolar Integrated OR/NOR Gate in 4H-SiC," IEEE Electron Device Letters, vol. 34, no. 9, s. 1091-1093, 2013.
[57]
L. Lanni et al., "A 4H-SiC Bipolar Technology for High-Temperature Integrated Circuits," Journal of Microelectronics and Electronic Packaging, vol. 10, no. 4, s. 155-162, 2013.
[58]
A. Salemi et al., "Area-optimized JTE simulations for 4.5 kV non ion-implanted sic BJT," Materials Science Forum, vol. 740-742, s. 974-977, 2013.
[59]
L. Lanni et al., "High-temperature characterization of 4H-SiC darlington transistors for low voltage applications," Materials Science Forum, vol. 740-742, s. 966-969, 2013.
[60]
H. Elahipanah et al., "Process variation tolerant 4H-SiC power devices utilizing trench structures," Materials Science Forum, vol. 740-742, s. 809-812, 2013.
[61]
L. Lanni et al., "Bipolar integrated OR-NOR gate in 4H-SiC," Materials Science Forum, vol. 717-720, s. 1257-1260, 2012.
[62]
L. Lanni et al., "Design and Characterization of High-Temperature ECL-Based Bipolar Integrated Circuits in 4H-SiC," IEEE Transactions on Electron Devices, vol. 59, no. 4, s. 1076-1083, 2012.
[63]
C.-M. Zetterling et al., "Future high temperature applications for SiC integrated circuits," Physica Status Solidi. C, Current topics in solid state physics, vol. 9, no. 7, s. 1647-1650, 2012.
[64]
K. Buchholt et al., "Growth and characterization of epitaxial Ti3GeC2 thin films on 4H-SiC(0001)," Journal of Crystal Growth, vol. 343, no. 1, s. 133-137, 2012.
[65]
B. Buono et al., "Investigation of Current Gain Degradation in 4H-SiC Power BJTs," Materials Science Forum, vol. 717-720, s. 1131-1134, 2012.
[66]
J. -. Lee et al., "Local anodic oxidation of phosphorus-implanted 4H-SiC by atomic force microscopy," Materials Science Forum, vol. 717-720, s. 905-908, 2012.
[68]
B. Buono et al., "Current Gain Degradation in 4H-SiC Power BJTs," Materials Science Forum, vol. 679-680, s. 702-705, 2011.
[69]
R. Ghandi et al., "High Voltage (2.8 kV) Implantation-free 4H-SiC BJTs with Long-TermStability of the Current Gain," IEEE Transactions on Electron Devices, vol. 58, no. 8, s. 2665-2669, 2011.
[70]
R. Ghandi et al., "High Voltage, Low On-resistance 4H-SiC BJTs with Improved Junction Termination Extension," Materials Science Forum, vol. 679-680, s. 706-709, 2011.
[72]
B. Buono et al., "Modeling and Characterization of the ON-Resistance in 4H-SiC Power BJTs," IEEE Transactions on Electron Devices, vol. 58, no. 7, s. 2081-2087, 2011.
[73]
K. Buchholt et al., "Ohmic contact properties of magnetron sputtered Ti3SiC2 on n- and p-type 4H-silicon carbide," Applied Physics Letters, vol. 98, no. 4, s. 042108, 2011.
[74]
R. Ghandi et al., "Removal of Crystal Orientation Effects on the Current Gain of 4H-SiC BJTs Using Surface Passivation," IEEE Electron Device Letters, vol. 32, no. 5, s. 596-598, 2011.
[75]
R. Ghandi et al., "Surface-passivation effects on the performance of 4H-SiC BJTs," IEEE Transactions on Electron Devices, vol. 58, s. 259-265, 2011.
[76]
R. Esteve et al., "Toward 4H-SiC MISFETs Devices Based on ONO (SiO2-Si3N4-SiO2) Structures," Journal of the Electrochemical Society, vol. 5, no. 158, s. 496-501, 2011.
[77]
R. Esteve et al., "Comparative study of thermal oxides and post-oxidized depositedoxides on n-type free standing 3C-SiC," Materials Science Forum, vol. 645-648, s. 829-832, 2010.
[78]
R. Ghandi et al., "Experimental evaluation of different passivation layers on the performance of 3kV 4H-SiC BJTs," Materials Science Forum, vol. 645-648, no. Part 1-2, s. 661-664, 2010.
[79]
B. Buono et al., "Influence of Emitter Width and Emitter-Base Distance on the Current Gain in 4H-SiC Power BJTs," IEEE Transactions on Electron Devices, vol. 57, no. 10, s. 2664-2670, 2010.
[80]
B. Buono et al., "Modeling and Characterization of Current Gain Versus Temperature in 4H-SiC Power BJTs," IEEE Transactions on Electron Devices, vol. 57, no. 3, s. 704-711, 2010.
[81]
B. Buono et al., "Temperature Modeling and Characterization of the Current Gain in 4H-SiC Power BJTs," Materials Science Forum, vol. 645-648, s. 1061-1064, 2010.
[82]
H.-S. Lee et al., "1200 V 4H-SiC BJTs with a Common Emitter Current Gain of 60 and Low On-resistance," Materials Science Forum, vol. 600-603, s. 1151-1154, 2009.
[85]
R. Ghandi et al., "Backside Nickel Based Ohmic Contacts to n-type Silicon Carbide," Materials Science Forum, vol. 600-603, s. 635-638, 2009.
[86]
R. Esteve et al., "Comparative study of thermally grown oxides on n-type free standing 3C-SiC (001)," Journal of Applied Physics, vol. 106, no. 4, 2009.
[87]
R. Ghandi et al., "High-Voltage 4H-SiC PiN Diodes With Etched Junction Termination Extension," IEEE Electron Device Letters, vol. 30, no. 11, s. 1170-1172, 2009.
[89]
B. Buono et al., "Simulations of Open Emitter Breakdown Voltage in SiC BJTs with non Implanted JTE," Materials Science Forum, vol. 615-617, s. 841-844, 2009.
[90]
R. Ghandi et al., "Fabrication of 2700-v 12-m Omega center dot cm(2) non ion-implanted 4H-SiC BJTs with common-emitter current gain of 50," IEEE Electron Device Letters, vol. 29, no. 10, s. 1135-1137, 2008.
[91]
H.-S. Lee et al., "High-Current-Gain SiC BJTs With Regrown Extrinsic Base and Etched JTE," IEEE Transactions on Electron Devices, vol. 55, no. 8, s. 1894-1898, 2008.
[92]
H.-S. Lee et al., "Low-forward-voltage-drop 4H-SiC BJTs without base contact implantation," IEEE Transactions on Electron Devices, vol. 55, no. 8, s. 1907-1911, 2008.
[93]
H.-S. Lee et al., "Surface passivation oxide effects on the current gain of 4H-SiC bipolar junction transistors," Applied Physics Letters, vol. 92, no. 8, s. 082113-1-082113-3, 2008.
[94]
H.-S. Lee et al., "1200-V 5.2-m Omega center dot cm(2) 4H-SiC BJTs with a high common-emitter current gain," IEEE Electron Device Letters, vol. 28, no. 11, s. 1007-1009, 2007.
[95]
H.-S. Lee et al., "4H-SiC power BJTs with high current gain and low on-resistance," Materials Science Forum, vol. 556-557, s. 767-770, 2007.
[96]
H.-S. Lee et al., "A comparative study of surface passivation on SiC BJTs with high current gain," Materials Science Forum, vol. 556-557, s. 631-634, 2007.
[97]
M. Domeij et al., "Current gain dependence on emitter width in 4H-SiC BJTs," Materials Science Forum, vol. 527-529, s. 1425-1428, 2006.
[98]
H.-S. Lee et al., "Investigation of TiW contacts to 4H-SiC bipolar junction devices," Materials Science Forum, vol. 527-529, s. 887-890, 2006.
[99]
E. Danielsson et al., "A 4H-SiC BJT with an Epitaxially Regrown Extrinsic Base Layer," Materials Science Forum, vol. 483-485, s. 905-908, 2005.
[100]
M. Domeij et al., "Current gain of 4H-SiC bipolar transistors including the effect of interface states," Materials Science Forum, vol. 483, s. 889-892, 2005.
[101]
H.-S. Lee et al., "Electrical characteristics of 4H-SiC BJTs at elevated temperatures," Materials Science Forum, vol. 483-485, s. 897-900, 2005.
[102]
M. Domeij et al., "Geometrical effects in high current gain 1100-V 4H-SiC BJTs," IEEE Electron Device Letters, vol. 26, no. 10, s. 743-745, 2005.
[103]
E. Danielsson et al., "Extrinsic base design of SiC bipolar transistors," Materials Science Forum, vol. 457-460, no. II, s. 1117-1120, 2004.
[104]
[105]
W. Liu et al., "High frequency measurements and simulations of SiC MESFETs up to 250 degrees C," Materials Science Forum, vol. 457-460, s. 1209-1212, 2004.
[106]
S.-M. Koo et al., "SiC JMOSFETs for high-temperature stable circuit operation," Materials Science Forum, vol. 457-460, s. 1445-1448, 2004.
[107]
H.-S. Lee et al., "Simulation study of 4H-SiC junction-gated MOSFETs from 300 K to 773 K," Materials Science Forum, vol. 457-460, s. 1437-1440, 2004.
[108]
M. Östling et al., "Thin films in silicon carbide semiconductor devices," Proceedings of SPIE, the International Society for Optical Engineering, vol. 5774, s. 5-10, 2004.
[109]
S. M. Koo et al., "Combination of JFET and MOSFET devices in 4H-SiC for high-temperature stable circuit operation," Electronics Letters, vol. 39, no. 12, s. 933-935, 2003.
[110]
W. Liu et al., "Electro-Thermal Simulations and Measurement of Silicon Carbide Bipolar Transistors," Materials Science Forum, vol. 433-436, s. 781-784, 2003.
[111]
S. M. Koo et al., "Ferroelectric Pb(Zr0.52Ti0.48)/SiC field-effect transistor," Applied Physics Letters, vol. 83, no. 19, s. 3975-3977, 2003.
[112]
E. Danielson et al., "Investigation of thermal properties in fabricated 4H-SiC high power bipolar transistors," Solid-State Electronics, vol. 47, no. 4, s. 639-644, 2003.
[113]
S. M. Koo et al., "Processing and properties of ferroelectric Pb(Zr,Ti)O-3/silicon carbide field-effect transistor," Integrated Ferroelectrics, vol. 57, s. 1221-1231, 2003.
[114]
S. -. Koo et al., "Simulation and Measurement of Switching Characteristics of 4H-SiC Buried-Gate JFETs," Materials Science Forum, vol. 433-436, s. 773-776, 2003.
[115]
E. Danielsson et al., "Characterization of heterojunction diodes with hydride vapor phase epitaxy grown AlGaN on 4H-SiC," Journal of Applied Physics, vol. 91, no. 4, s. 2372-2379, 2002.
[116]
S. M. Koo et al., "Electrical characteristics of metal-oxide-semiconductor capacitors on plasma etch-damaged silicon carbide," Solid-State Electronics, vol. 46, no. 9, s. 1375-1380, 2002.
[117]
S. K. Lee et al., "Electrical characterization of titanium-based ohmic contacts to 4H-Silicon carbide for high-power and high-temperature operation," Journal of the Korean Physical Society, vol. 40, no. 4, s. 572-576, 2002.
[118]
S. M. Koo et al., "Ferroelectric Pb(Zr,Ti)O-3/Al2O3/4H-SiC diode structures," Applied Physics Letters, vol. 81, no. 5, s. 895-897, 2002.
[119]
S. -. Koo et al., "Influence of trenching effect on the characteristics of buried-gate SiC junction field-effect transistors," Materials Science Forum, vol. 389-393, no. 2, s. 1235-1238, 2002.
[120]
E. Danielsson et al., "Investigation of thermal properties in fabricated 4H-SiC high-power bipolar transistors," Materials Science Forum, vol. 389-393, no. 2, s. 1337-1340, 2002.
[121]
S. K. Lee et al., "Low resistivity ohmic contacts on 4H-silicon carbide for high power and high temperature device applications," Microelectronic Engineering, vol. 60, no. 02-jan, s. 261-268, 2002.
[123]
S. K. Lee et al., "Ohmic contact formation on inductively coupled plasma etched 4H-silicon carbide," Journal of Electronic Materials, vol. 31, no. 5, s. 340-345, 2002.
[124]
S. K. Lee et al., "Reduction of the Schottky barrier height on silicon carbide using Au nano-particles," Solid-State Electronics, vol. 46, no. 9, s. 1433-1440, 2002.
[126]
E. Danielsson et al., "The influence of band offsets on the IV characteristics for GaN/SiC heterojunctions," Solid-State Electronics, vol. 46, no. 6, s. 827-835, 2002.
[127]
E. Danielsson et al., "Fabrication and characterization of heterojunction diodes with HVPE-Grown GaN on 4H-SiC," IEEE Transactions on Electron Devices, vol. 48, no. 3, s. 444-449, 2001.
[128]
H. Cho et al., "High density plasma via hole etching in SiC," Journal of Vacuum Science & Technology. A. Vacuum, Surfaces, and Films, vol. 19, no. 4, s. 1878-1881, 2001.
[129]
E. Danielsson et al., "Inductively coupled plasma etch damage in 4H-SiC investigated by Schottky diode characterization," Journal of Electronic Materials, vol. 30, no. 3, s. 247-252, 2001.
[130]
S. K. Lee, C.-M. Zetterling och M. Östling, "Schottky barrier height dependence on the metal work function for p-type 4H-silicon carbide," Journal of Electronic Materials, vol. 30, no. 3, s. 242-246, 2001.
[131]
F. Dahlquist et al., "2.8 kV, forward drop JBS diode with low leakage," Materials Science Forum, vol. 338-342, s. 1179-1182, 2000.
[132]
N. Lundberg et al., "CVD-based tungsten carbide Schottky contacts to 6H-SiC for very high-temperature operation," Journal of Electronic Materials, vol. 29, no. 3, s. 372-375, 2000.
[133]
E. Danielsson et al., "Dry etching and metallization schemes in a GaN/SiC heterojunction device process," Materials Science Forum, vol. 338-342, s. 1049-1052, 2000.
[134]
P. Leerungnawarat et al., "Effect of UV light irradiation on SiC dry etch rates," Journal of Electronic Materials, vol. 29, no. 3, s. 342-346, 2000.
[135]
S. K. Lee et al., "Electrical characterization of TiC ohmic contacts to aluminum ion implanted 4H-silicon carbide," Applied Physics Letters, vol. 77, no. 10, s. 1478-1480, 2000.
[136]
L. W. Wang et al., "Investigation of damage behaviour and isolation effect of n-type 6H-SiC by implantation of oxygen," Journal of Physics D : Applied Physics, vol. 33, no. 12, s. 1551-1555, 2000.
[137]
S. K. Lee et al., "Low resistivity ohmic titanium carbide contacts to n- and p-type 4H-silicon carbide," Solid-State Electronics, vol. 44, no. 7, s. 1179-1186, 2000.
[138]
S. K. Lee, C.-M. Zetterling och M. Östling, "Schottky diode formation and characterization of titanium tungsten to n- and p-type 4H silicon carbide," Journal of Applied Physics, vol. 87, no. 11, s. 8039-8044, 2000.
[139]
C.-M. Zetterling et al., "SiC MISFETs with MBE-grown AlN gate dielectric," Materials Science Forum, vol. 338-342, s. 1315-1318, 2000.
[140]
L. W. Wang et al., "Structural and electrical characteristics of oxygen-implanted 6H-SiC," Nuclear Instruments and Methods in Physics Research Section B : Beam Interactions with Materials and Atoms, vol. 169, s. 1-5, 2000.
[141]
H. Cho et al., "Ultradeep, low-damage dry etching of SiC," Applied Physics Letters, vol. 76, no. 6, s. 739-741, 2000.
[142]
J. Hong et al., "Plasma chemistries for high density plasma etching of SiC," Journal of Electronic Materials, vol. 28, no. 3, s. 196-201, 1999.
[143]
[144]
E. Danielsson et al., "Simulation and electrical characterization of GaN/SiC and AlGaN/SiC heterodiodes," Materials Science & Engineering : B. Solid-state Materials for Advanced Technology, vol. 61-62, s. 320-324, 1999.
[145]
L. Wang et al., "Study of optical characteristics of damage in oxygen-implanted 6H-SiC," Journal of materials science letters, vol. 18, no. 12, s. 979-982, 1999.
[146]
C.-M. Zetterling et al., "UV-ozone precleaning and forming gas annealing applied to wet thermal oxidation of p-type silicon carbide," Materials Science in Semiconductor Processing, vol. 2, no. 1, s. 23-27, 1999.
[147]
P. Leerungnawarat et al., "Via-hole etching for SiC," Journal of Vacuum Science & Technology B, vol. 17, s. 2050-2054, 1999.
[148]
C.-M. Zetterling et al., "Comparison of SiO2 and AlN as gate dielectric for SiC MOS structures," Materials Science Forum, vol. 264-268, s. 877-880, 1998.
[149]
J. J. Wang et al., "High rate etching of SiC and SiCN in NF3 inductively coupled plasmas," Solid-State Electronics, vol. 42, no. 5, s. 743-747, 1998.
[150]
J. J. Wang et al., "ICP etching of SiC," Solid-State Electronics, vol. 42, no. 12, s. 2283-2288, 1998.
[151]
J. J. Wang et al., "Inductively coupled plasma etching of bulk 6H-SiC and thin-film SiCN in NF3 chemistries," Journal of Vacuum Science & Technology. A. Vacuum, Surfaces, and Films, vol. 16, no. 4, s. 2204-2209, 1998.
[152]
F. Dahlquist et al., "Junction barrier Schottky diodes in 4H-SiC and 6H-SiC," Materials Science Forum, vol. 264-268, no. PART 2, s. 1061-1064, 1998.
[153]
C.-M. Zetterling et al., "Junction barrier Schottky diodes in 6H SiC," Solid-State Electronics, vol. 42, no. 9, s. 1757-1759, 1998.
[154]
E. Danielsson et al., "Thermal stability of sputtered TiN as metal gate on 4H-SiC," Materials Science Forum, vol. 264-268, no. PART 2, s. 805-808, 1998.
[155]
C.-M. Zetterling et al., "Influence of growth conditions on electrical characteristics of AlN on SiC," Applied Physics Letters, vol. 70, no. 26, s. 3549-3551, 1997.
[156]
C.-M. Zetterling et al., "Investigation of aluminum nitride grown by metal-organic chemical-vapor deposition on silicon carbide," Journal of Applied Physics, vol. 82, no. 6, s. 2990-2995, 1997.
[157]
C.-M. Zetterling och M. Östling, "A novel UMOS capacitor test structure for SiC devices," Solid-State Electronics, vol. 39, no. 9, s. 1396-1397, 1996.
[158]
C.-M. Zetterling och M. Östling, "Thermal oxidation of n- and p-type 6H-silicon carbide," Physica scripta. T, vol. T54, s. 291-294, 1994.
[159]
N. Lundberg, C.-M. Zetterling och M. Östling, "Temperature stability of cobalt Schottky contacts on n- and p-type 6H silicon carbide," Applied Surface Science, vol. 73, no. C, s. 316-321, 1993.

Konferensbidrag

[160]
M. Ekström, B. G. Malm och C.-M. Zetterling, "Ultrafast Pulsed I-V and Charge Pumping Interface Characterization of Low Voltage n-Channel SiC MOSFETs," i Silicon Carbide and Related Materials 2019, 2020, s. 642-651.
[161]
J. Inoue et al., "4H-SIC trench pMOSFETs for high-frequency CMOS inverters," i Silicon Carbide and Related Materials 2018, 2019, s. 837-840.
[162]
S. Hou et al., "High Temperature High Current Gain IC Compatible 4H-SiC Phototransistor," i European Conference on Silicon Carbide and Related Materials (ECSCRM 2018), Birmingham, United Kingdom, 2-6 September 2018, 2019.
[163]
A. U. Rashid et al., "Numerical simulation model development and comparative analysis of low-voltage SiC BJT for compact modeling," i 2019 IEEE 7th Workshop on Wide Bandgap Power Devices and Applications, WiPDA 2019, 2019, s. 137-142.
[164]
S. Hou et al., "Process Control and Optimization of 4H-SiC Semiconductor Devices and Circuits," i Proceedings of the 3rd Electron Devices Technology and Manufacturing, (EDTM) Conference 2019, 2019.
[165]
T. Ishii et al., "Suppression of short-channel effects in 4H-SIC trench MOSFETS," i Silicon Carbide and Related Materials 2018, 2019, s. 613-616.
[166]
J. Kajihara et al., "4H-SiC pMOSFETs with al-doped S/D and NbNi silicide ohmic contacts," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 423-427.
[167]
A. Salemi et al., "Conductivity modulated and implantation-free 4H-SiC ultra-high-voltage PiN Diodes," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 568-572.
[168]
M. Shakir et al., "Electrical characterization of integrated 2-input TTL NAND Gate at elevated temperature, fabricated in bipolar SiC-technology," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 958-961.
[169]
M. Ekström et al., "Low temperature Ni-Al ohmic contacts to p-TYPE 4H-SiC using semi-salicide processing," i International Conference on Silicon Carbide and Related Materials, ICSCRM 2017, 2018, s. 389-392.
[170]
A. Salemi et al., "10+ kV implantation-free 4H-SiC PiN diodes," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 423-426.
[171]
S. Hou et al., "4H-SiC PIN diode as high temperature multifunction sensor," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 630-633.
[172]
S. -. Kuroki et al., "4H-SiC pseudo-CMOS logic inverters for harsh environment electronics," i 11th European Conference on Silicon Carbide and Related Materials, ECSCRM 2016, 2017, s. 669-672.
[173]
S. Hou et al., "Scaling of 4H-SiC p-i-n photodiodes for high temperature applications," i 2017 75th Annual Device Research Conference (DRC), 2017.
[174]
S. S. Suvanam et al., "Total Dose Effects on 4H-SiC Bipolar Junction Transistors," i European Conference on Silicon Carbide and Related Materials 2016 (ECSCRM-16), 2017.
[175]
C. Fuglesang, C.-M. Zetterling och C. F. Wilson, "Venus long-life surface package (VL2SP)," i Proceedings of the International Astronautical Congress, IAC, 2017, s. 3035-3043.
[176]
C. Fuglesang, C.-M. Zetterling och M. Östling, "Working on venus and beyond - SiC electronics for extreme environments," i Proceedings of the International Astronautical Congress, IAC, 2017, s. 10393-10398.
[177]
H. Nagatsuma et al., "4H-SiC nMOSFETs with As-Doped S/D and NbNi silicide ohmic contacts," i 16th International Conference on Silicon Carbide and Related Materials, ICSCRM 2015, 2016, s. 573-576.
[178]
S. I. Kuroki et al., "Characterization of 4H-SiC nMOSFETs in harsh environments, high-temperature and high gamma-ray radiation," i 16th International Conference on Silicon Carbide and Related Materials, ICSCRM 2015, 2016, s. 864-867.
[179]
A. Salemi et al., "Geometrical effect dependency on the on-state characteristics in 5.6 kV 4H-SiC BJTs," i 16th International Conference on Silicon Carbide and Related Materials, ICSCRM 2015, 2016, s. 958-961.
[180]
J. Colmenares et al., "High-Temperature Passive Components for Extreme Environments," i 2016 IEEE 4TH WORKSHOP ON WIDE BANDGAP POWER DEVICES AND APPLICATIONS (WIPDA), 2016, s. 271-274.
[181]
H. Elahipanah et al., "Modification of etched junction termination extension for the high voltage 4H-SiC power devices," i Silicon Carbide and Related Materials, 2016, s. 978-981.
[182]
M. Östling et al., "State of the art Power Switching Devices in SiC and their Applications," i 2016 IEEE SILICON NANOELECTRONICS WORKSHOP (SNW), 2016, s. 122-123.
[183]
R. Hedayati et al., "Wide Temperature Range Integrated Amplifier in Bipolar 4H-SiC Technology," i 2016 46TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC), 2016, s. 198-201.
[184]
S. Kargarrazi et al., "A monolithic SiC drive circuit for SiC Power BJTs," i 2015 IEEE 27TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & IC'S (ISPSD), 2015, s. 285-288.
[185]
A. Salemi et al., "Area- and Efficiency-Optimized Junction Termination for a 5.6 kV SiC BJT Process with Low ON-Resistance," i 2015 IEEE 27TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES & IC'S (ISPSD), 2015, s. 249-252.
[186]
A. Salemi et al., "Conductivity modulated on-axis 4H-SiC 10+ kV PiN diodes," i Proceedings of the International Symposium on Power Semiconductor Devices and ICs, 2015, s. 269-272.
[187]
S. S. Suvanam et al., "Effects of 3 MeV protons on 4H-SiC bipolar devices and integrated OR-NOR gates," i Proceedings of the European Conference on Radiation and its Effects on Components and Systems, RADECS, 2013.
[188]
C.-M. Zetterling, "Present and Future Applications of Silicon Carbide Devices and Circuits," i Proceedings of the Custom Integrated Circuits Conference 2012, 2012, s. 6330619.
[189]
L. Lanni et al., "Bipolar Integrated OR-NOR Gate in 4H-SiC," i Proceedings of International Conference on Silicon Carbibe and Related Materials 2011, 2011.
[190]
M.-S. Kang et al., "Effect of annealing temperature on the barrier height of nano-particle embedded Ni-contacts to 4H-SiC," i 2011 International Semiconductor Device Research Symposium (ISDRS), 2011, s. 1-2.
[191]
C.-M. Zetterling et al., "Future high temperature applications for SiC integrated circuits," i 16th Semiconducting and Insulating Materials Conference (SIMC-XVI), Stockholm, Sweden, June 19-23, 2011, 2011.
[192]
M. Östling et al., "SiC Bipolar Devices for High Power and Integrated Drivers," i Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), 2011 IEEE, 2011, s. 227-234.
[193]
M. Östling, R. Ghandi och C.-M. Zetterling, "SiC power devices - present status, applications and future perspective," i 2011 IEEE 23RD INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD), 2011, s. 10-15.
[194]
M. Östling et al., "Silicon carbide bipolar power devices," i ECS Transactions, 2011, s. 189-200.
[196]
R. Ghandi et al., "Influence of crystal orientation on the current gain in 4H-SiC BJTs," i Device Research Conference - Conference Digest, DRC, 2010, s. 131-132.
[197]
R. Esteve et al., "Optimization of Poly-Silicon Process for 3C-SiC Based MOS Devices," i Material Research Society Symposium Proceedings, 2010, s. 115.
[198]
M. Östling et al., "SiC bipolar power transistors : Design and technology issues for ultimate performance," i 2010 MRS Spring Meeting, 2010, s. 175-186.
[199]
M. Domeij et al., "Analysis of the base current and saturation voltage in 4H-SiC power BJTs," i 2007 European Conference On Power Electronics And Applications : Vols 1-10, 2007, s. 2744-2750.
[200]
H.-S. Lee et al., "Influence of the base contact on the electrical characteristics of SiC BJTs," i 19th International Symposium on Power Semiconductor Devices and ICs, ISPSD'07 : Jeju Island; 27 May 2007 through 31 May 2007, 2007, s. 153-156.
[201]
R. Ghandi et al., "Simultaneous study of nickel based ohmic contacts to Si-face and C-face of n-type silicon carbide," i 2007 INTERNATIONAL SEMICONDUCTOR DEVICE RESEARCH SYMPOSIUM, VOLS 1 AND 2, 2007, s. 311-311.
[202]
M. Domeij et al., "High current gain silicon carbide bipolar power transistors," i Proceedings of the 18th International Symposium on Power Semiconductor Devices and ICs, 2006, s. 141-144.
[203]
C.-M. Zetterling et al., "Silicon Carbide Power Device Technology," i COMMAD '06, Conference on Optoelectronic and Microelectronic Materials and Devices 2006. UWA, Perth, Australia. 6-8 December 2006, 2006.
[204]
M. Östling et al., "Silicon carbide devices and processes - Present status and future perspective," i Proceedings of the International Conference Mixed Design of Integrated Circuits and Systems, 2006, s. 34-42.
[205]
M. Domeij et al., "SiC power bipolar junction transistors : Modeling and improvement of the current gain," i 2005 European Conference on Power Electronics and Applications, 2005, s. 1665888.
[206]
C.-M. Zetterling, W. Liu och M. Östling, "Thermal modeling of multi-finger SiC power MESFETs," i 2005 International Semiconductor Device Research Symposium, 2005, s. 290-291.
[207]
W. Liu, C.-M. Zetterling och M. Östling, "Thermal-issues for design of high power SiC MESFETs," i PROCEEDINGS OF THE SIXTH IEEE CPMT CONFERENCE ON HIGH DENSITY MICROSYSTEM DESIGN AND PACKAGING AND COMPONENT FAILURE ANALYSIS (HDP'04), 2004, s. 331-335.
[208]
C.-M. Zetterling et al., "Challenges for High Temperature Silicon Carbide Electronics," i Materials Research Society Symposium - Proceedings, 2003, s. 15-25.
[209]
S.-M. Koo et al., "Characteristics of PZT/Al2O3 stack on SiC demonstrated in a NVFET," i 34th IEEE Semicondctor Interface Specialists Conference, 2003, 2003.
[210]
M. Domeij et al., "Measurements and simulations of self-heating and switching with 4H-SIC power BJTs," i IEEE International Symposium on Power Semiconductor Devices and ICs (ISPSD), 2003, s. 375-378.
[211]
S.-M. Koo et al., "Multifunction Integration of Junction-MOSFETs and Nonvolatile FETs on a Single 4H-SiC Substrate for 300°C Operation," i Proc. IEEE International Electron Devices Meeting (IEDM) 2003, 2003, s. 575-578.
[212]
S. -. Koo et al., "Towards ferroelectric field effect transistors in 4H-silicon carbide," i Materials Research Society Symposium - Proceedings, 2002, s. 371-379.
[213]
K. P. Lee et al., "Comparison of F2 plasma chemistries for deep etching of SiC," i Materials Research Society Symposium - Proceedings, 2001, s. H7.7.1-H7.7.6.
[214]
S.-M. Koo et al., "Metal-oxide-semiconductor structures in inductively coupled plasma etch damaged 6H- and 4H-SiC," i 32nd IEEE Semiconductor Interface Specialists Conference, 2001, 2001.
[215]
S. -. Lee, C.-M. Zetterling och M. Östling, "Titanium tungsten (TiW) for Ohmic contacts to n-and p-type 4H-SiC," i Materials Research Society Symposium - Proceedings, 2001, s. H7.2.1-H7.2.6.
[216]
S. -. Lee et al., "The formation and characterization of epitaxial titanium carbide contacts to 4H-SiC," i Materials Research Society Symposium - Proceedings, 2000, s. T691-T696.
[217]
J. Huang et al., "Growth of SiC thin films on (100) and (111) silicon by pulsed laser deposition combined with a vacuum annealing process," i Materials Research Society Symposium - Proceedings, 1999, s. 207-212.
[218]
C.-M. Zetterling, "Dielectric issues for silicon carbide MOS devices," i 29th IEEE Semiconductor Interface Specialists Conference, 1998.
[219]
J. J. Wang et al., "Low damage, highly anisotropic dry etching of SiC," i High Temperature Electronics Conference, 1998. HITEC. 1998 Fourth International, 1998, s. 10-14.
[220]
C.-M. Zetterling et al., "High voltage silicon carbide Junction Barrier Schottky rectifiers," i Proceedings of the IEEE Cornell Conference on Advanced Concepts in High Speed Semiconductor Devices and Circuits, 1997, s. 256-263.
[221]
J. J. Wang et al., "ICP etching of SiC," i Materials Research Society Symposium - Proceedings, 1997, s. 177-183.
[222]
C.-M. Zetterling et al., "Formation and high frequency CV-measurements of aluminum/aluminum nitride/6H silicon carbide structures," i Materials Research Society Symposium - Proceedings, 1996, s. 667-672.
[223]
C.-M. Zetterling och M. Östling, "Comparison of thermal gate oxides on silicon and carbon face p-type 6H silicon carbide," i Materials Research Society Symposium - Proceedings, 1994, s. 209-214.
[224]
C.-M. Zetterling och M. Östling, "Electrical Properties of Thin Oxides for MOSFETs in the Poly-Si / SiO2 / 6H Silicon Carbide System," i Solid State Device Research Conference, 1993. ESSDERC ’93. 23rd European, 1993, s. 497-500.

Kapitel i böcker

[225]
M. Östling et al., "SiC Device Technologies," i Encyclopedia of RF and Microwave Engineering : vol 5, Kai Cang red., 1. uppl. : Wiley-Blackwell, 2005, s. 4613.
[226]
C.-M. Zetterling, "Electrical and transport properties of AlN," i Properties, processing and applications of gallium nitride and related semiconductors, 1. uppl. : Institution of Engineering and Technology, 1999, s. 40.

Icke refereegranskade

Artiklar

[227]
H. Elahipanah et al., "Modification of Etched Junction Termination Extension for the High Voltage 4H-SiC Power Devices," Materials Science Forum, vol. 858, s. 978-981, 2016.

Konferensbidrag

[228]
M. Shakir, S. Hou och C.-M. Zetterling, "A Monolithic 500 °C D-flip flop Realized in Bipolar 4H-SiC TTL technology," i Materials Science Forum, Proceedings of European Conference on Silicon Carbide and Related Materials 2018, Birmingham, United Kingdom, 2-6 September 2018, 2019.

Böcker

[229]
J. Carroll och C.-M. Zetterling, Hjälp studenterna att undvika plagiering. 1. uppl. Stockholm : KTH Royal Institute of Technology, 2009.
[230]
C.-M. Zetterling, Process technology for silicon carbide devices. 1. uppl. London : Institution of Electrical Engineers (IEE), 2002.

Kapitel i böcker

[231]
C.-M. Zetterling, "Silicon carbide high temperature electronics - is this rocket science?," i Future Trends in Microelectronics : Frontiers and Innovations, : Wiley, 2013, s. 102-109.

Övriga

[232]
M. Shakir et al., "555-Timer IC Operational at 500 °C," (Manuskript).
Senaste synkning med DiVA:
2024-04-23 00:07:36